当前位置:文档之家› 实验九单稳态双稳态触发器

实验九单稳态双稳态触发器

实验九单稳态双稳态触发器
实验九单稳态双稳态触发器

STE-3A 数字电路实验—09

实验九单稳态和双稳态触发器

一.实验目的

1.掌握单稳态触发电路的工作原理。

2.掌握双稳态触发电路的工作原理。

二.电路原理简述

由于单稳态触发器电路因触发后能够保持一段暂稳状态,?所以这种电路具有记忆功能,即将触发信号保持一段时间。单稳态触发器只有一个稳定输出状态,这个稳定状态要么是0,要么是1。另有一个暂稳输出状态,电路在暂稳态下会自动返回到稳定输出状态,电路只有在有效输入触发信号触发下才会从稳态进入暂稳态。.?单稳态触发器根据电路不同有两种:一是集—基耦合单稳态触发器电路。二是发射极耦合单稳态触发器电路。单稳态触发器电路可以用分立元器件构成,也可以用集成逻辑门构成电路单稳态触发器,在逻辑门构成的单稳态触发器电路中,根据电路不同又有微分型电路和积分型电路两种。在单稳态触发器的输入端触发电路中,可以采用基极触发电路,?也可以采用集电极触发电路。根据有效触发脉冲的极性不同又有正尖顶脉冲触发和负尖顶脉冲触发两种。

双稳态电路又称为双稳态触发器,这种电路有两个稳定的输出状态,?如果没有有效的触发信号进行触发,这种稳态电路将保持一种稳定状态。双稳态电路的输出信号波形是矩形脉冲波形,这种电路的两个输出端输出信号相位相反,即一个输出高电平时另一个输出低电平。分立元器件构成的双稳态触发器有两种电路:一是集电极-基极耦合双稳态电路,二是发射极耦合双稳态电路。两种双稳态电路都有两个稳定的状态,但电路的工作原理不同,对于集-基耦合的双稳态电路而言,它的工作状态转换是受触发信号控制的,而射耦双稳态电路受输入电压大小控制。

单稳态触发器电路和双稳态触发器电路一样,?在输入触发脉冲信号作用下电路通过正反馈回路进行翻转,使电路从一种状态翻转到另一种状态,没有负反馈回路的作用,这两种触发器电路都不能进行自动翻转。

三.实验设备

名称数量型号

1.适配器1只SD128

2.单稳态触发器1只SD113

3.电源1只5V

4.实验板1块5孔

5.电子导线若干

四.实验内容与步骤

本章节中,我们所用的元件是由两个可调整控制时间的单稳态触发回路组成的。

根据图9-1连接线路,把单稳态触发器上的电位器调整至中间位置。按下适配器上的M 按钮,然后放开,当适配器上的LED指示等亮起,就表示此时单稳态触发器输入端输入信号为“1”。过几秒钟后,我们可以看到什么?不断调整电位器,我们可以发现,单稳态触发

器上的LED指示灯点亮的时间随着电位器的变化而变化。

图8-1

按下M按钮,然后放开,在脉冲前缘(即从0信号变为1信号)期间,我们可以看到单稳态触发器没有什么变化。在脉冲后缘(即从1信号变为0信号)期间,我们可以看到单稳态触发器上LED指示灯亮起(此时输出端信号为1),灯亮的持续时间长短取决与电位器。电位器调整时间的范围在ca.0.1s到ca.5s之间。

图8-2

根据图9-2连接线路,此回路产生一个可调频率为0.1Hz到5Hz的方波脉冲。

五.分析与讨论

1. 简述单稳态触发电路的工作原理。

2. 简述双稳态触发电路的工作原理。

实验四 触发器实验

数字电路与逻辑设计实验 ——触发器实验 姓名:李文科 学号:20131060044 学院:信息学院 专业:计算机科学与技术 指导教师:陈志坚 2014年11月22日

一、 实验目的 1. 熟悉并掌握RS 、D 、JK 触发器的构成,工作原理和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能触发器FF 相互转换的方法。 二、 实验仪器及材料 1. 双踪示波器 2. 器件: 74LS00 二输入端四“与非”门 1片 74LS74 双D 触发器 1片 74LS112 双JK 触发器 1片 三、 实验内容 1. 基本RS 触发器(RS-FF )功能测试 两个TTL 与非门首尾相接构成的基本RS-FF 的电路如图4.1所示。 (1) 试按下面的顺序在S d ???、R d ????端加信号: S d ???=0 R d ????=1 S d ???=1 R d ????=1 S d ???=1 R d ????=0 S d ???=1 R d ????=1 观察并记录FF 的Q 、Q ?端的状态,将结果填入表4.1中,并说明在上述各种输入状态下,FF 执行的是什么功能? (2) S d ???端接低电平,R d ????端加脉冲。 Q =1, Q ?=R d ???? (3) S d ???端接高电平,R d ????端加脉冲。 Q =0,Q ?=1 (4) 连接S d ???、R d ????,并加脉冲。 图4.1:基本RS-FF 电路 表4.1

Q= Q ?=1 记录并观察(2)、(3)、(4)三种状态下,Q ,Q ?,端的状态。从中你能否总结出RS-FF 的Q 或Q ?端的状态改变和输入端S d ???、R d ????的关系。 S d ???=0 R d ????=1 置Q=1 S d ???=1 R d ????=1 保持 S d ???=1 R d ????=0 置Q=0 (5) 当S d ???、R d ????都接低电平时,观察Q ,Q ?端的状态。当S d ???、R d ????同时由低电平跳为高电平时,注意观察Q ,Q ?端的状态,重复3-5次看Q ,Q ?端的状态是否相同,以正确理解“不定”状态的含义。 2. 维持阻塞型D-FF 功能测试 双D 型正边沿维持阻塞型触发器74LS74的逻辑符号如图4.2所示。图中S d ???、R d ????端为异步置1端、置0端(或称异步置位复位端)。CP 为时钟脉冲。 试按下面的步骤做实验: (1) 分别在S d ???、R d ????端加低电平,观察并记录Q , Q ?端的状态。 (2) 令S d ???、R d ????端为高电平,D 端分别加高、低电平,用单脉冲作为CP ,观察并记录当CP 为L 、↑、H 、↓时,Q 端状态的变化。 (3) 当S d ???=R d ????=H 、CP=0(或CP=1),改变D 端信号,观察Q 端的状态是否变化? 整理上述的实验数据,将结果填土表4.2中。 (4) 令S d ???=R d ????=H ,将D 和端相连,CP 加连续脉冲,用双踪示波器观察并在图4.3中记录Q 相对于CP 的波形。 图4.2:D-FF 符号 表4.2

触发器实验报告

. . . . .. . 实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 (上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: 专业:电卓1501 姓名:卢倚平 学号:3150101215 日期:2017.6.01 地点:东三404

实验名称:集成触发器应用实验 姓名: 卢倚平 学号: 2 (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图: (上:Qn ,下:CP ) (上:Qn ,下:D ) 4、J-K →T ′的转换实验。 设计过程: J-K 触发器:n n 1 +n Q Q J =Q K +, T ’触发器:Qn+1=!Qn 若将J-K 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:J=K=1 实验截图:

双稳态电路的工作原理)

双稳态电路的工作原理 双稳态电路是由什么组成的?他的工作原理是什么? 一、工作原理 图一为双稳态电路,它是由两级反相器组成的正反馈电路,有两个稳定状态,或者是BG1导通、BG2截止;或者是BG1截止、BG2导通,由于它具有记忆功能,所以广泛地用于计数电路、分频电路和控制电路中,原理,图2(a)中,设触发器的初始状态为BG1导通,BG2截止,当触发脉冲方波从1端输入,经CpRp 微分后,在A点产生正、负方向的尖脉冲,而只有正尖脉冲能通过二极管D1作用于导通管BG1的基极是。ic1减小使BG1退出饱和并进入放大状态,于是它的集电极电位降低,经电阻分压器送到截止管BG2的基极,使BG2的基极电位下降,如果下降幅度足够时,BG2将由截止进入放大状态,因而产生下列正反馈过程(看下列反馈过程时,应注意:在图一的PNP电路中,晶体管的基极和集电极电位均为负值,所以uc1↓,表示BG1集电极电位降低,而uc1↑则表示BG1集电极电位升高,当BG1基极电位降低时,则ic1↑,反之当BG1基极电位升高时,ic1↓ ic1越来越小,ic2越来越大,最后到达BG1截止、BG2导通;接差触发脉冲方波从2端输入,并在t=t2时,有正尖脉冲作用于导通管BG2的基极,又经过正反馈过程,使BG1导通,BG2截止。以后,在1、2端的触发脉冲的轮流作用下,双稳电路的状态也作用相应的翻转,如图一(b)所示。 图一、双稳态电路 由上述过程可见:(1)双稳态电路的尖顶触发脉冲极性由晶体管的管型决定:PNP管要求正极性脉冲触发,而NPN管却要求负极性脉冲触发。(2)每触发一次,电路翻转一次,因此,从翻转次数的多少,就可以计算输入脉冲的个数,这就是双稳态电路能够计算的原理。 双稳态电路的触发电路形式有:单边触发、基极触发、集电极触发和控制触发等。 图二给出几种实用的双稳态电路。电路(a)中D3、D4为限幅二极管,使输出幅度限制在-6伏左右;电路(b)中的D5、D6是削去负尖脉冲;电路(C)中的ui1、ui2为单触发,ui为输入触发表一是上述电路的技术指标。 图二、几种实用的双稳态电路 表一几种双稳态触发器的技术指标 图二(a)(b)(c)(d) 管型二极管2AP32AP152AK1C2AK17 三极管3AX31B3AG403AK203DK3B 信号电平“0”(无信号)(V)000+6 “1”(有信号)(V)-6-6-90 工作频率(KHz)1060010008000 抗干扰电压(V)≥1≥1.5≥20.8-1 触发灵敏度(V)≤4≤4.8≤72.5 输出端的吸收能力(mA)≤4≤6.7≤210 输出端的发射能力(mA)≤44≤12≤127 输出脉冲的上升时间(μs)2≤0.30≤0.1≤0.1 输出脉冲的下降时间(μs)2≤0.36≤0.15≤0.1 对β值的要求>5050-8060-90>50 元件参数的允许化△β<10,±5%△β<10,±5%△β<10,±5%△β<10,±5%

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

实验04-双稳态触发器功能及应用

实验四双稳态触发器功能及应用 一、实验目的 1.掌握两种基本RS触发器的构成、集成JK和D触发器的逻辑功能测试、触发方式和使用方法。 2.掌握触发器之间的相互转换。 3.掌握时序逻辑电路的分析方法与步骤,并通过实验进行逻辑功能验证。 4.学会应用双稳态触发器设计电路。 二、实验任务(建议学时:2学时) (一)基本实验任务 1. 两种基本RS触发器逻辑功能测试; 2. D触发器(74LS74)的逻辑功能测试; 3. JK触发器(74LS112)的逻辑功能测试; 4. 用JK触发器构成D、T、T'触发器,并验证其逻辑功能; (二)扩展实验任务(电类本科生1、2、3项必选一个,4、5项必选一个,非电类本科生1、2、3项任选一个) 1. 对图4-5所示时序逻辑电路1进行分析,画出电路的状态表,并说明该电路实现的逻辑功能是什么?请根据电路原理图在实验室完成电路连线,并验证你的结论。 2. 对图4-6 异步时序逻辑电路2进行分析,画出电路的状态表,并说明该电路实现的逻辑功能是什么?请根据电路原理图在实验室完成电路连线,并验证你的结论。 3. 对图4-7 异步时序逻辑电路3进行分析,画出电路的状态表,并说明该电路实现的逻辑功能是什么?请根据电路原理图在实验室完成电路连线,并验证你的结论。 4.使用D触发器设计一个四位同步加法计数器(可适当增加必要的基本门电路),并验证其逻辑功能。 5.根据图4-9所示电路及工作原理,使用D触发器将图中的控制电路设计出来,以实现图4-9电路的功能。

三、实验原理 触发器(Flip-flop)简称FF。按电路结构分为:基本RS触发器(又称RS锁存器)、同步触发器、主从触发器(Master-Slave FF)、边沿触发器(Edge-Triggered)、维持阻塞触发器等,不同电路结构的触发器有不同的动作特点。按逻辑功能分为:RS触发器(RS锁存器)、D触发器、JK触发器、T和T′触发器等。 1)基本RS触发器动作特点:基本RS触发器,其输出端和Q′状态由输入信号R和S来决定,当输入信号R和S发生变化时,输出端Q和Q′的状态作相应的变化。 2)同步RS触发器(高电平触发)动作特点:输入信号在CP=0期间保持不变,在CP=1的全部时间内R、S的变化都将引起触发器状态的相应改变,即在CP=1期间输入信号发生多次变化,触发器的状态也可能发生多次翻转,电路的抗干扰能力弱。 3)主从触发器的动作特点:①在CP=1期间,主触发器接收输入端(S、R或J、K)的信号,输出端被置为相应的状态,从触发器保持原状态;②在CP下降沿(或上升沿)到来时从触发器按主触发器的状态翻转,即Q和Q′端的状态改变发生在CP的下降沿(或上升沿)。 使用主从触发器应注意:只有在CP=1期间输入状态不变的条件下,当下降沿(或上升沿)到来时,输出状态(次态)才会由输入的状态决定。否则,必须考虑CP=1期间输入状态的全部变化过程,才能确定当下降沿(或上升沿)到来时,触发器的输出状态(次态)。4)边沿触发器的动作特点:边沿触发器的次态仅取决于CP信号的上升沿(或下降沿)到达时输入端的逻辑状态,而在这以前或以后,输入信号的变化对触发器的状态没有影响。这种特点有效的提高了触发器电路的抗干扰能力,因而也提高了电路的工作可靠性。 目前生产的触发器定型产品中只有JK触发器和D触发器两大类。 (一)基本实验任务 1. 与非门、或非门分别构成的RS基本触发器逻辑功能测试 如图4-1所示的两种基本RS触发器分别由与非门和或非门构成。

实验报告四 MYSQL存储过程与触发器

计算机科学系实验报告 实验要求: (在导入的教学管理STM数据库中完成): 1、基本储存过程的创建 ①创建一存储过程get_student_num,利用输出参数形式获取学生人数信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_num`(OUT count_num CHAR(10)) BEGIN SELECT COUNT(sno) INTO count_num FROM student; END$$ DELIMITER ; CALL get_student_num(@count_num) SELECT @count_num

②创建一存储过程get_student_by_sno,通过输入学生编号作为参数,获得该学生的记录信息。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`get_student_by_sno`(IN sno_in CHAR(10)) BEGIN SELECT *FROM student WHERE sno = sno_in; END$$ DELIMITER ; CALL get_student_by_sno('900262') ③创建一存储过程update_sage_by_sno,通过输入学生编号、年龄作为参数,将指定学生的年龄更改为指定的年龄。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`update_sage_by_sno`(IN sno_in CHAR(13) ,sage_in INT) BEGIN UPDATE student SET sage=sage_in WHERE sno=sno_in; END$$ DELIMITER ; CALL update_sage_by_sno('900125',20) ④创建一存储过程delete_student_by_sno,通过输入学生编号作为参数,删除该学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`delete_student_by_sno`(IN sno_in CHAR(10)) BEGIN DELETE FROM student WHERE sno=sno_in; END$$ DELIMITER ; CALL delete_student_by_sno('900106') ⑤创建一存储过程insert_student,通过输入相关信息作为参数,向学生表中添加一学生记录。并利用CALL调用该存储过程查看结果。 DELIMITER $$ CREATE PROCEDURE `stm`.`insert_student`(IN snox CHAR(10),snamex VARCHAR(10),ssexx VARCHAR(1),sagex SMALLINT(5),enterdatex DATETIME) BEGIN INSERT INTO student (sno,sname,ssex,sage,enterdate) VALUES (snox,snamex,ssexx,sagex,enterdatex); END$$ DELIMITER ; CALL insert_student('900104','里斯','男',21,'2010-09-12')

实验四 D触发器及其应用

实验四D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱 2、数字双踪示波器 3、函数信号发生器 4、集成电路:74LS00 5、集成电路:74LS74 74LS74 ?74LS74:双D触发器(上升沿触发的边沿D触发器) ?引脚的定义: 三.实验原理 时序逻辑电路: ?1、时序逻辑电路:任一时刻的输出信号不但取决于当时的输入信号,而且还取决于 电路原来的状态,与以前的输入有关。 ?2、同步时序电路 ?3、异步时序电路 D触发器 ? 1 、触发器:一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最

基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。 2、D触发器在时钟脉冲CP的前沿(正跳变0→1)发生翻转,触发器的次态取决于 CP脉冲上升沿到来之前D端的状态。 四、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 2、实现如图所示时序脉冲(74LS74和74LS00各1片) 五.实验结果 1.用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 在CP1端加入1KHz,峰峰值为5.00V,平均值为2.50V的连续方波,并用示波器观察CP,1Q,2Q各点的波形 得到的二分频波形结果为:

得到的四分频结果为: 2、实现如图所示时序脉冲(74LS74和74LS00各1片)

2. 特征方程 3. 电路图 +1101+101 ' 10 ' =====n n n n n n Q Q D Q Q D F Q Q F F CP =?

触发器的使用实验报告

实验II、触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 如图1为两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1” 段,因为=0(=1)时触发器被置为“1”;为置“0”端,因为=0(=1)时触发器被置“0”,当==1时状态保持;==0时,触发器状态不定,应避免此种情况发生,表1为基本RS 触发器的状态表。 图1、基本RS触发器 表1、基本RS触发器功能表 输入输出 0 1 1 0 1 0 0 1 1 1 0 0 不定不定 基本RS 2、JK触发器

在输入信号为双端的情况下,JK触发器的功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降沿出发的边沿触发器。引脚功能及逻辑符号如图2所示。 图2、74LS112双JK触发器引脚排列及逻辑符号 JK触发器的状态方程为:=J+ J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或者两个以上输入端时,组成“与”的关系。和为两个互补输出端。通常把=0,=1的状态定为触发器“0” 状态;而把=1,=0定为“1”状态。下降沿触发JK触发器功能表如表2所示。 表2、JK触发器功能表 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为=D,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D 74LS74、四D 74LS175、六D 74LS174等。 下图为双D774LS74的引脚排列及逻辑符号。功能表如表3.

实验四 基本RS触发器和D触发器

实验四基本RS触发器和D触发器 一、实验目的 1.熟悉并验证触发器的逻辑功能; 2.掌握RS和D触发器的使用方法和逻辑功能的测试方法。 二、实验预习要求 1.预习触发器的相关内容; 2.熟悉触发器功能测试表格。 三、实验原理 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即“0”和“1”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。 1.基本RS触发器 图实验4.1 基本RS触发 器 图实验4.1为由两个与非门交叉耦合构成的基本RS触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称为置“1”端,因为=0时触发器被置“1”;端为置“0”端,因为=0时触发器被置“0”;当 = =1时,触发器状态保持。基本RS触发器也可以用两个“或非门”组成,此时为高电平有效置位触发器。 2. D触发器

D 触发器的状态方程为:Qn+1=D。其状态的更新发生在CP脉冲的边沿,74LS74(CC4013)、74LS175(CC4042)等均为上升沿触发,故又称之为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态。D触发器应用很广,可用做数字信号的寄存、移位寄存、分频和波形发生器等。 四、实验仪器设备 1、TPE-AD数字实验箱1台 2、双D触发器74LS74 2片 3、四两输入集成与非门74LS00 1片 4、双通道示波器 1台 五、实验内容及方法 1.测试基本RS触发器的逻辑功能 按图实验4.1连接电路,用两个与非门组成基本RS触发器,输入端、接逻辑开关的输出口,输出端Q、接逻辑电平显示灯输入接口,按表实验4.1的要求测试并记录。 表实验4.1 RS触发器的逻辑功能 1 10 1 0 0 1 1 0 10 1 0 1 0 1 0 1 0 0 1 1 2.测试D触发器的逻辑功能。 (1)测试、的复位、置位功能。

实验四 触发器 实验报告

实验四触发器实验报告 徐旭东 11180243 物理112班 一、实验目的 1. 熟悉并掌握R-S、D、J-K触发器的特性和功能测试方法。 2. 学会正确使用触发器集成芯片。 3. 了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1. 实验仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件 74LS00 二输入端四与非门 1片 74LS74 双D触发器 1片 74LS76 双J-K触发器 1片 三、实验内容步骤及记录 1. 基本RS触发器功能测试: 两个TTL与非门首尾相接构成的基本RS触发器的电路。如图5.1所示。

(1)试按下面的顺序在S R 端加信号: d S =0 d R =1 d S =1 d R =1 d S =1 d R =0 d S =1 d R =1 观察并记录触发器的Q 、Q _ 端的状态,将结果填入 下表4.1中,并说明在上述各种输入状态下,RS 执行的是什么逻辑功能? 表4.1 d S d R Q 逻辑功能 0 1 1 1 1 1 0 1 1 1 0 0 0 0 1 1 置1 保持 置0 保持 (2)当d S 、d R 都接低电平时,观察Q 、Q _ 端的状态,当d S 、d R 同时由低电平跳为高电平时,注意观察Q 、Q _ 端的状态,重复3~5次看Q 、Q _ 端的状态是否相同,以正确理解“不定” 状态的含义。 结论: 当d S 、d R 都接低电平时,Q 和Q _ 端的状态不定。 2. 维持- 阻塞型D 触发器功能测试 双D 型正边沿维持-阻塞型触发器74LS74的逻辑符号如图4.2所示。 图中d S 、d R 端为异步置1端,置0端(或称异步置位,复位端),CP 为时钟脉冲端。试按下面步骤做实验: (1)分别在d S 、d R 端加低电平,观察并记录Q 、Q _ 端的状态。 (2)令d S 、d R 端为高电平,D 端分别接高,低电平,用点动脉 冲作为CP ,观察并记录当CP 为0、 、1、 时Q 端状态的变化。 图4.1 基本RS 触发器电 图4.2D 逻辑符号

双稳态电路原理、设计及应用(按键触发开关)

双稳态电路原理及设计、实际应用 一、工作原理 图一为双稳态电路,它是由两级反相器组成的正反馈电路,有两个稳定状态,或者是BG1导通、BG2截止;或者是BG1截止、BG2导通,由于它具有记忆功能,所以广泛地用于计数电路、分频电路和控制电路中。 原理,图2(a)中,设触发器的初始状态为BG1导通,BG2截止,当触发脉冲方波从1端输入,经CpRp微分后,在A点产生正、负方向的尖脉冲,而只有正尖脉冲能通过二极管D1作用于导通管BG1的基极是。ic1减小使BG1退出饱和并进入放大状态,于是它的集电极电位降低,经电阻分压器送到截止管BG2的基极,使BG2的基极电位下降,如果下降幅度足够时,BG2将由截止进入放大状态,因而产生下列正反馈过程(看下列反馈过程时,应注意:在图一的PNP电路中,晶体管的基极和集电极电位均为负值,所以uc1↓,表示BG1集电极电位降低,而uc1↑则表示BG1集电极电位升高,当BG1基极电位降低时,则ic1↑,反之当BG1基极电位升高时,ic1↓,ic1越来越小,ic2越来越大,最后到达BG1截止、BG2导通;接差触发脉冲方波从2端输入,并在t=t2时,有正尖脉冲作用于导通管BG2的基极,又经过正反馈过程,使BG1导通,BG2截止。以后,在1、2端的触发脉冲的轮流作用下,双稳电路的状态也作用相应的翻转,如图一(b)所示。 图一、双稳态电路 由上述过程可见:(1)双稳态电路的尖顶触发脉冲极性由晶体管的管型决定:PNP管要求正极性脉冲触发,而NPN管却要求负极性脉冲触发。(2)每触发一次,电路翻转一次,因此,从翻转次数的多少,就可以计算输入脉冲的个数,这就是双稳态电路能够计算的原理。双稳态电路的触发电路形式有:单边触发、基极触发、集电极触发和控制触发等。 图二给出几种实用的双稳态电路。电路(a)中D3、D4为限幅二极管,使输出幅度限制在-6伏左右;电路(b)中的D5、D6是削去负尖脉冲;电路(C)中的ui1、ui2为单触发,ui为输入触发表一是上述电路的技术指标。

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

置位和复位优先双稳态触发器指令

置位和复位优先双稳态触发器指令 RS触发器具有置位和复位的双重功能,RS触发器是复位优先时,当置位(S)和复位(R)同时为真时,输出为假。而SR触发器是置位优先触发器时,当置位(S)和复位(R)同时为真时,输出为真。RS 和SR触发器指令应用如下图所示: 图4-16 RS 和SR 触发器指令应用 边沿触发指令 边沿触发是指用边沿触发信号产生一个机器周期的扫描脉冲,通常用做脉冲整形。边沿触发指令分为上升沿(正跳变触发)和下降沿(负跳变触发)两大类,正跳变触发指令指输入脉冲的上升沿使触点闭合(ON)一个扫描周期。负跳变触发指输入脉冲的下降沿使触点闭合(ON)一个扫描周期。边沿触发指令格式见表4-5

【例4-5】如图4-17所示的程序,若I0.0上电一段时间后再断开,请画出I0.0,Q0.0,Q0.1和Q0.2 图4-17 边沿触发指令应用实例 [解]如图4-17所示,在I0.0的上升沿,触点(EU)产生一个扫描周期的时钟脉冲,驱动输出线圈Q0.1通电一个扫描周期,Q0.0通电,使输出线圈Q0.0置位并保持。 在I0.0的下降沿,触点(ED)产生一个扫描周期的时钟脉冲,驱动输出线圈Q0.2通电一个扫面周期,是输出线圈Q0.0复位并报出。【例4-6】设计用一个单按钮控制一盏灯的亮和灭,即按奇数次按钮灯亮,按偶数次按钮等灭。 [解] 当I0.0第一次合上时,V0.0接通一个扫描周期,使得Q0.0线圈得电一个扫描周期,当下一次扫描周期到达,Q0.0常开触点闭合自

锁,灯亮。 当I0.0第二次合上时,V0.0接通一个扫描周期,使得Q0.0线圈闭合一个扫描周期。切断Q0.0的敞开触点和V0.0的敞开触点,使得灯灭。

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

实验五(单稳态触发器和多谐振荡器)

年级_______班级_____学号________________姓名________________成绩_______ 实验五单稳态触发器和多谐振荡器 一、实验目的 1.研究555单稳态触发器的功能。 2.研究由555构成的多谐振荡器的功能。 二、实验器材 5V直流电源 1个 逻辑开关 1个 逻辑探头 1个 555定时器 1个 信号发生器 l台 双踪示波器 l台 电容器 1üF、100üF、0.02üF 各1个 0.01üF 2个 电阻 200kΩ、100KΩ、72kΩ、 48kΩ、10 KΩ、5 KΩ、1 KΩ各1个 三、实验准备 单稳态触发器具有三个特点:第一,有一个稳态和一个暂稳态;第二,在外来触发脉冲的作用下,能够从稳态翻转为暂稳态:第三,暂稳态维持一段时间以后将自动返回稳态而暂稳态的维持时间与触发脉冲无关,仅决定于电路本身的参数。 图5-1 555单稳态触发器 图5-1电路可用来验证555单稳态触发器的逻辑功能。图中TRI为下沿触发脉冲输入端,由时钟脉冲逻辑开关CLOCK提供下沿触发脉冲。逻辑探头Output可显示单稳电路的输出状态,稳态时Out=0,暂稳态时Out=1。暂稳态的维持时间t w由RC电路的时间常数来决定,其计算公式为

t w≈1.1RC 图5-2 555单稳电路的时间波形 图5-2为测试555单稳态触发器时间波形的电路。信号发生器将一系列短周期方波脉冲加到单稳电路的下沿触发输入端TRI,示波器将显示触发输入端TRI和输出端Out 的波形。 图5-3是一个用555定时器连成的多谐振荡器电路。电路的振荡频率用输出矩形波的占空比由外接元件R A、R B和C1决定。C2为控制输入端CON的旁路电容,对振荡频率没有什么影响,在有些情况下可以去掉。振荡频率f由输出脉冲的周期求出,即 占空比q为用百分数表示的多谐振荡器输出高电平的时间t2与周期T之比,即 对于图5-3所示的多谐振荡电路,在一周内输出低电平的时间t1、输出高电平的时间t2、振荡周期T、振荡频率吸占空比q的近似值可由下列公式求出

实验四 实验4 VHDL语言进行简单时序电路——一 JK触发器的设计

实验4 VHDL语言进行简单时序电路——一JK触发器的设计一、实验目的 学习在QuartusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。 二、验仪器设备 1、PC机一台 2、QuartusⅡ。 三、实验要求 1、预习教材中的相关内容,编写出JK触发器的VHDL源程序。 2、用VHDL语言输入方式完成电路设计,编译、仿真。 四、实验内容及参考实验步骤 一、设计输入 1、开机,进入QuartusⅡ。 2、为本工程设计建立一个文件夹。 3、建立设计文件。选择File菜单之New项,选择文件类型,本设计选择VHDL File。建立一个文本编辑文件 4、输入源程序, 保存文件。注意,必须保存为vhd类型,且文件名与源程序的 实体名相同。 二、创建工程并编译 1、创建一个新的工程,将多路选择器文件加入工程。 2、编译。点击Start Compilation按钮进行编译。如果发现错误,改正后再次编 译。 三、仿真 1、建立波形文件。选择File菜单之New项,选择Other Fles中的V ector Waveform File文件类型,建立一个波形文件 2、设定仿真时间。选择菜单Edit的End Time ….项设定仿真时间域。例如1us. 3、输入端口信号。选择菜单View的Utility Windows项的Node Finder选项, 在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。 4、编辑输入波形。在输入端口加上适当的信号,以便在输出端进行观察。 5、保存文件。

6、进行仿真。点击Start Simulation按钮进行仿真。 7、观察分析波形。观察仿真结果,并进行波形分析,看是否与设计相符。 五、实验报告 1、根据实验过程写出试验报告 2、总结用VHDL语言的设计流程 3、总结时序电路的设计方法。 附录 JK触发器VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity jkff1 is port(j,k:in std_logic; clk:in std_logic; q,qn:out std_logic); end entity jkff1; architecture bhv of jkff1 is signal q1:std_logic; begin process(clk) begin if clk'event and clk='1' then if j='1'and k='0' then q1<='0'; elsif j='0'and k='1' then q1<='1'; elsif j='1'and k='1' then q1<=not q1; else q1<=q1; end if; end if; end process; q<=q1; qn<=not q1; end architecture bhv;

实验九单稳态双稳态触发器

STE-3A 数字电路实验—09 实验九单稳态和双稳态触发器 一.实验目的 1.掌握单稳态触发电路的工作原理。 2.掌握双稳态触发电路的工作原理。 二.电路原理简述 由于单稳态触发器电路因触发后能够保持一段暂稳状态,?所以这种电路具有记忆功能,即将触发信号保持一段时间。单稳态触发器只有一个稳定输出状态,这个稳定状态要么是0,要么是1。另有一个暂稳输出状态,电路在暂稳态下会自动返回到稳定输出状态,电路只有在有效输入触发信号触发下才会从稳态进入暂稳态。.?单稳态触发器根据电路不同有两种:一是集—基耦合单稳态触发器电路。二是发射极耦合单稳态触发器电路。单稳态触发器电路可以用分立元器件构成,也可以用集成逻辑门构成电路单稳态触发器,在逻辑门构成的单稳态触发器电路中,根据电路不同又有微分型电路和积分型电路两种。在单稳态触发器的输入端触发电路中,可以采用基极触发电路,?也可以采用集电极触发电路。根据有效触发脉冲的极性不同又有正尖顶脉冲触发和负尖顶脉冲触发两种。 双稳态电路又称为双稳态触发器,这种电路有两个稳定的输出状态,?如果没有有效的触发信号进行触发,这种稳态电路将保持一种稳定状态。双稳态电路的输出信号波形是矩形脉冲波形,这种电路的两个输出端输出信号相位相反,即一个输出高电平时另一个输出低电平。分立元器件构成的双稳态触发器有两种电路:一是集电极-基极耦合双稳态电路,二是发射极耦合双稳态电路。两种双稳态电路都有两个稳定的状态,但电路的工作原理不同,对于集-基耦合的双稳态电路而言,它的工作状态转换是受触发信号控制的,而射耦双稳态电路受输入电压大小控制。 单稳态触发器电路和双稳态触发器电路一样,?在输入触发脉冲信号作用下电路通过正反馈回路进行翻转,使电路从一种状态翻转到另一种状态,没有负反馈回路的作用,这两种触发器电路都不能进行自动翻转。 三.实验设备 名称数量型号 1.适配器1只SD128 2.单稳态触发器1只SD113 3.电源1只5V 4.实验板1块5孔 5.电子导线若干 四.实验内容与步骤 本章节中,我们所用的元件是由两个可调整控制时间的单稳态触发回路组成的。 根据图9-1连接线路,把单稳态触发器上的电位器调整至中间位置。按下适配器上的M 按钮,然后放开,当适配器上的LED指示等亮起,就表示此时单稳态触发器输入端输入信号为“1”。过几秒钟后,我们可以看到什么?不断调整电位器,我们可以发现,单稳态触发

实验5-实验报告

广东技术师范学院实验报告 学院: 专业: 班级: 成绩: 姓名: 学号: 组别: 组员: 实验地点: 实验日期: 指导教师签名: 实验 五 项目名称: 555时基电路及其应用 一、实验目的 1、熟悉555型集成时基电路结构、工作原理及其特点 2、掌握555型集成时基电路的基本应用 二、实验设备 1、 数字电路实验箱 2、 数字示波器 3、信号发生器 4、 555×2 2CK13×2 电位器、电阻、电容若干 三、实验内容及步骤 1、 单稳态触发器 (1) 按图5-2连线,取R =100K ,C =47μf ,输入信号v i 由单次脉冲源提供,用双踪示波器 观测V C 、V O 波形,简要画出V C 、V O 的波形测定幅度与暂稳时间,完成表5-1。(理论计算时间:t W =1.1RC )

(2) 将R 改为1K ,C 改为0.1μf ,输入端加1KHz 的连续脉冲,观测波形V C ,V O ,测定幅度及暂稳时间, 完成表5-1。(理论计算时间:t W =1.1RC ) 表5-1 单稳态触发器实验数据 2、 多谐振荡器 图5-3 多谐振荡器 按图5-3接线,用双踪示波器观测V C 、V O 的波形,并简要画出V C 、V O 的波形,测定频率。 (信号周期理论计算公式:T =t w1+t w2, t w1=0.7(R 1+R 2)C , t w2=0.7R 2C ) 表5-1 多谐振荡器实验数据 3、施密特触发器 图5-6 施密特触发器

按图5-6接线,输入信号由信号发生器提供,预先调好v S 的频率为1KHz,接通电源,逐 渐加大v S 的幅度,观测输出波形,简要画出v S 和v o的波形,依照图5-7,测绘电压传输特性。 图5-7 波形变换图图5-8 电压传输特性 四、实验报告 分析、总结实验结果

数电实验四 双稳态触发器

实验四 双稳态触发器 一、实验目的 1.熟悉并验证触发器的逻辑功能和触发方式。 2.掌握集成JK 和D 触发器的使用方法和逻辑功能的测试方法。 3.掌握用JK 或D 触发器组成分频器的方法。 二、实验原理及实验资科 触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序电路的最基本逻辑单元。触发器具有两个稳定状态,即"0"和"1",在适当触发信号作用下,触发器的状态发生翻转,即触发器可由一 个稳态转换到另一个稳态.当输入触发信号消失后,触发器翻转后的状态保持不变(记忆功能)。 根据电路结构的不同,触发器的触发方式不同,有电平触发,主从触发和边沿触发。根据功能的不同,触发器有RS 触发器,JK 触发器,D 触发器,T 触发器,T ′触发器等类型。集成触发器的主要产品是JK 触发器和D 触发器,其他功能的触发器可由JK, D 触发器进行转换。电路结构和触发方式与功能无必然联系。比如JK 触发器既有主从式的,又有边沿式的,而主从触发器和边沿触发器都有RS 、JK 、D 触发器。 1.带清除和预置端的高速CMOS 双JK 负沿触发器CC74HC112(74HC112) (1) 功能如表5-1所示。 (2) 外引线排列见图5-3。 2.带清除和预置端的TTL 维持一阻塞双D 触发器74LS74 (1) 功能见表5-2。 (2) 5-2。 表5-1 74HC112功能表 图5-3 74HC112外引线排列图

表5-2 74LS74 功能表 三、实验内容与步骤 (一)JK 触发器74112 1.复位、置位功能 1)将74112芯片的J 端、K 端、R D 和S D 端各接到实验箱的一个“0”、“1”电平开关上;CP 接到实验箱的常"1"单次脉冲按钮开关 上; Q 和Q 各接到一个电平指示灯上。后续表格如无特别说明,输入端和输出端的接法同上。接通芯片电源,操作电平开关,完成表5-3规定的实验内容。注意,在做表中第5行实验时,先将R D 和S D 接到同一个“0”、“1”电平开关上。操作完成后恢复原来的接线。记录时对第3~5行可作简要的文字说明。 2)测量Q 、Q 端V OH 和V OL 的值 表5-3 74112复位、置位功能 2.逻辑特性 接线同1。操作电平开关和单次脉冲按钮开关,完成表5-4规定的实验内容,其中Q n 状态通过操作R D 和S D 的电平开关实现。实验时注意这些开关的操作顺序,并观察Q n+1的出现对应CP 脉冲的哪一个边沿(上升还是下降沿),作好记录。 图5-2 74LS74外引线排列图

相关主题
文本预览
相关文档 最新文档