当前位置:文档之家› 模数转换器

模数转换器

模数转换器
模数转换器

设计题目:模数转换器

系别:应用电子与通信技术系

班级:0992221

学生姓名:刘明慧

指导教师:刘洋

成绩:

2012年3月21日

目录

第1章绪论 (1)

1.1选题目的 (1)

1.2 设计要求 (1)

1.3 设计题目 (1)

1.4 设计指标 (1)

第2章电路结构及工作原理 (2)

2.1 整机电路方框图 (2)

2.2 整机原理图 (2)

2.3 工作原理 (3)

第3章单元电路设计及器件选择 (4)

3.1 单元电路设计 (4)

3.1.1电压比较单元 (4)

3.1.2 寄存器单元 (4)

3.1.3 优先编码器单元 (5)

3.2 器件选择 (5)

3.2.1 电压比较器的选择 (5)

3.2.2 寄存器的选择 (6)

3.2.3 优先编码器的选择 (7)

第4章电路的组装与调试 (8)

4.1 电路的组装 (8)

4.2 整机的布线原则 (8)

4.3 电子元器件的插装 (8)

4.3.1 元器件分类 (8)

4.3.2 元器件引脚成型 (8)

4.3.3 插件顺序 (8)

4.4 电子元器件的焊接 (8)

4.5 电路的调试 (9)

4.5.1 故障分析及解决办法 (9)

4.6 实验数据 (9)

4.7 误差分析 (10)

结论 (11)

收获和体会 (12)

致谢 (13)

参考文献 (14)

附录 (15)

课程设计任务书

2012年3月21日

第1章绪论

1.1 选题目的

随着数字电子技术的迅猛发展,各种数字设备几经渗透了国民经济的所有领域。计算机只能对数字信号进行处理,处理的结果还是数字量,然而计算机在用于生产过程自动控制时,其所要处理的变量往往是连续变化的物理量,如温度、压力、速度等都是模拟量,这些非电的模拟量先要经过传感器变成电压或电流等电的模拟量,然后在转化为数字量,才能送入计算机进行处理。这就需要一种能在模拟信号与数字信号之间起桥梁作用的电路,把它们称为模数转换电路。能将模拟信号转换成数字信号的电路,称为模数转换器。

1.2 设计要求

模拟量转换为数字量,模拟量输入数字量输出。

1.3 设计题目

四位并行比较型模数转换器

1.4 设计指标

输入电压模拟量,输出用发光二极管显示相应的变化。

第2章电路结构及工作原理

2.1 整机电路方框图

根据本课程的功能及技术指标,设计出方框图。

图2-1 整机电路方框图

2.2 整机原理图

根据方框图设计出原理图,555产生震荡,提供给触发器脉冲。

图2-2 原理图

图2-3脉冲产生

2.3 工作原理

图2-2-1所示为四位并行比较型模数转换原理电路,它由电压比较器、寄存器和优先编码器三部分组成。Uref 是基准电压,Ui 是模拟电压输入端,D3、D2、D1、D0是输出的四位二进制代码,CP 是控制时钟信号。

电阻链把基准电压Uref 分压,得到从

116 Uref 、2

16

Uref 、316 Uref 、…、15

16 Uref 不同的15个比较电压,把这15个比较电压分别作为15个比较器C1~C15的输入端基准电压。量化单位为1

16

Uref 。然后,同时将输入的模拟电压同时加到每个比较器的另一个输入端上,与这15个基准电压进行比较。例如,当7

16 Uref

﹤Ui ﹤

8

16

Uref 时,C1,C2到C7输出全为1,而其他输出全为0。比较器的输出状态由D 触发器进行存储,在经优先编码器编码,得到数字量输出四位二进制代码。

第3章单元电路设计及器件选择3.1 单元电路设计

3.1.1 电压比较单元

正输入端是模拟电压,负输入端是基准电压

图3-1 电压比较电路

3.1.2 寄存器单元

用D触发器,输出等于输入

图3-2 寄存器电路

3.1.3 优先编码器单元

两片8线-3线编码器级联成16线-4线编码器

图3-3 编码器电路

3.2 器件选择

根据电路原理选择合适的元器件

3.2.1 电压比较器的选择

由于本电路需要用到15个电压比较器,数量比较多,所以选择了集4个电压比较器于一体的LM339。LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1、失调电压小,典型值为2mV;2、电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3、对比较信号源的内阻限制较宽;

4、共模范围很大,为0~(Ucc-1.5V)Vo;

5、差动输入电压范围较大,大到可以等于电源电压;

6、输出端电位可灵活方便地选用。引脚如图3-4所示。

图3-4 LM339引脚图

3.2.2 寄存器的选择

寄存器由边沿D触发器进行存储,边沿触发器的次态仅取决于时钟信号CP上升沿(或下降沿)到达时刻输入信号的状态,也就是说,在有效触发沿之前和之后输入信号变化对触发器状态均无影响,从而克服了空翻,提高了抗干扰能力。

本电路采用的触发器为74LS377,此芯片内部集成8个D触发器,D0~D7为8位数据输入端,Q0~Q7为8位数据输出端,G 为使能控制端,CLK为时钟信号,上升沿锁存数据。引脚如图3-2-2所示。功能如表3-1所示。

图3-5 74LS377引脚图

3.2.3 优先编码器的选择

将含有特定意义的数字或符号信息,转换成相应的若干位二进制代码的过程称为编码,具有编码功能的组合逻辑电路称为编码器。在数字电路中,一般用的是二进制编码。若编码器输出端

是n位二进制代码,则最多可以表示个输入信号。

所谓优先编码器,是对所有输入端预先设置了优先级别,当输入端同时有两个或两个以上信号输入时,编码器按优先级别高低进行编码,而对于优先级别低的输入信号则不予理睬,从而保证了编码器工作的可靠性。

本电路前级输出为15线,要求编码为4线,所以使用两个8线-3线编码器级联为16线-4线,又因为编码器为低电平有效,而前级输出的是高电平,所以使用编码器之前把前级输出取反,74LS04为六反相器,满足此要求。

图3-6 74LS148的引脚图

第4章电路的组装与调试

4.1 电路的组装

电路初步设计完成之后,这一步可以在面包板或万能板上完成。将电路中的各元器件按照图中原理顺序依次焊在万用板上或插在面包上(事先画好布线图),注意将为确保制作能一次成功,所有元器件都要认真检测,判别元器件是否完好。

4.2 整机的布线原则

布线问题是影响电路性能的重要因素之一,应按电路图的走向顺序排列各级电路,尽量缩短接线,排线应尽量避免形成闭合回路。集成电路外接元器件应尽可能安排在对应管脚附近,缩短连线的距离。输入信号与输出信号的引线应当尽可能分开一些。引线间要有一定的距离,避免相互绞合和交叉。

4.3 电子元器件的插装

电子元器件插装要求做到整齐、美观、稳固。同时应方便焊接和有利于元器件焊接时的散热。

4.3.1 元器件分类

按电路图或清单将电阻、电容、二极管、三极管,芯片座,导线等归类。

4.3.2 元器件引脚成型

所有元器件引脚均不得从根部弯曲,一般应留 1.5mm以上。手工加工的元器件整形,弯引脚可以借助镊子或小螺丝刀对引脚整形。

4.3.3 插件顺序

手工插装元器件,应该满足工艺要求。插装时不要用手直接碰元器件引脚和万用板上铜箔。

4.4 电子元器件的焊接

烙铁与水平面成45度角,先用电烙铁把焊点预热,再加焊锡,然后把焊锡移走,烙铁移走,就可以了。

4.5 电路的调试

电路调试要求掌握常用仪器设备的使用方法和一般的实验测试技能,调试中要求理论和实际相结合,既要掌握书本知识,又要有科学方法,才能顺利的进行调试工作。该电路的调试步骤如下:

1.接通电源,查看电路是否能够实现。

2.如不能实现,用万用表测试各个模块的输出,看是否达到预期要求。

3.如果有错误,单独对该模块进行校正(可以根据单元电路的输出波形以及电压进行核对)。

4.直到电路调试好为止。

4.5.1 故障分析及解决办法

在电路调试的过程当中,发现四位二进制数不显示13、14、15。故障分析如下

1.电路连接错误。

2.有虚焊的地方。

3.电路设计问题。

当把这3种故障分析都排除后,看了下芯片手册,发现LM339 的电压范围是3~36V,我们给的基准电压为5V,可能是基准电压过低的问题,最后我们测试基准电压,当电压加到18V时,之前遇到的问题就解决了。

4.6 实验数据

根据电路的工作原理,测得数据如下表。输入的基准电压为18V。

4.7误差分析

误差来源主要是电压读数误差,以及芯片精度引起的误差。

结论

根据设计的基本要求,电压比较电路、存储电路、编码电路我们已经全部完成,并且是在最短的时间内实现了全部指标,达到了这次课程设计的目的。

收获和体会

通过这次课程设计,加强了我们动手、思考和解决问题的能力。我们做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

课程设计是我们专业课程知识综合应用的实践训练,是我们迈向社会,从事职业工作前一个必不少的过程。“千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义。我们今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础.

致谢

这次课程设计终于顺利完成了,在设计中遇到了很多专业知识问题,最后在导师的辛勤指导下,终于迎刃而解。同时,在导师的身上我们学也到很多实用的知识,导师渊博的知识,严谨的治学态度,一丝不苟的工作作风,平易近人的性格都是我学习的楷模。在论文的研究及整理期间,导师给了我很大的支持和鼓励,才使得论文得以顺利的完成,在此谨向导师表示忠心的感谢和崇高的敬意。

我还要感谢帮助过我的同学,他们也为我解决了不少我不太明白的问题,同时也感谢学院为我提供良好的做毕业设计的环境。

由于本人水平有限,可能存在错误和不妥之处,敬请各位老师给予批评指正,以便今后不断改进。

参考文献

1 余孟尝.数字电子技术基础简明教程[M]. 北京:高等教育出版社,1999

2 杨素行.模拟电子技术基础简明教程[M]. 北京:高等教育出版社,2006

3 赵明富.EDA技术基础[M]. 北京:北京大学出版社,2007

4 林捷.模拟电路与数字电路[M]. 北京:人民邮电出版社,2007

5 杨欣、王玉凤.电子设计从零开始[M]. 北京:清华大学出版社,2005

6彭铭泉.通用集成电路速查手册[M]. 山东:山东科学技术出版社.2004

附录元件清单20cm

基于单片机的数模转换设计

目录 1、系统方案.......................................... - 3 - 1.1、方案比较与选择............................... - 3 - 1.1.1、单片机选择与论证........................ - 3 - 1.1.2、显示器件选择与论证...................... - 3 - 1.1.3、键盘形式选择与论证...................... - 4 - 1.1.4排阻形式选择与论证........................ - 4 - 2理论分析与计算 ..................................... - 8 - 2.1、D/A转换器的主要技术指标......................... - 8 - 1.分辨率......................................... - 8 - 2.转换精度....................................... - 8 - 3.输出电压(或电流)的建立时间(转换速度) ...... - 8 - 4. 温度系数 2.2 数模转换器 2.2.1权电阻网络DAC的原理分析..................... - 9 - 3、电路与程序设计.................................. - 11 - 3.1.1、总体框图设计........................... - 11 - 3.1.2、显示电路............................... - 11 - 3.1.3、权电路................................. - 12 - 3.1.4、按键电路............................... - 13 - 3.1.5、驱动电路............................... - 14 -

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

数模及模数转换器习题解答

数模及模数转换器习题解答

————————————————————————————————作者: ————————————————————————————————日期: ?

自我检测题 1.就实质而言,D/A转换器类似于译码器,A/D 转换器类似于编码器。 2.电压比较器相当于1位A/D 转换器。 3.A/D 转换的过程可分为 采样 、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A /D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型 的转换速度快。 5.A/D转换器两个最重要的指标是分辨率和转换速度。 6.8位D /A 转换器当输入数字量只有最低位为1时,输出电压为0.02V ,若输入数字量只有最高位为1时,则输出电压为 V 。 A.0.039 B .2.56 C .1.27 D .都不是 7.D/A 转换器的主要参数有 、转换精度和转换速度。 A .分辨率 B .输入电阻 C .输出电阻 D.参考电压 8.图T7.8所示R-2R网络型D/A 转换器的转换公式为 。 R R R I V REF 2R 2R 2R 2R 2R S 3 S 2 S 1 S 0 D 3 D 2 D 1 D 0 R F =R A + -v O i ∑ 图T 7.8 A .∑ =?- =3 3 REF o 22 i i i D V v ??B .∑=?- =3 4 REF o 2 232i i i D V v ??C .∑=?- =3 4 REF o 2 2 i i i D V v ??D .∑=?= 3 4 REF o 2 2 i i i D V v 9.D/A 转换器可能存在哪几种转换误差?试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。 10.比较权电阻型、R -2R 网络型、权电流型等D/A 转换器的特点,结合制造工

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

基本模数转换器(ADC)的设计

《数字逻辑电路分析与设计》课程 项目 实施报告 题目(A):基本模数转换器(ADC)的设计 组号: 8 任课教师:。。。 组长:。。。。 成员:。。。。 成员:。。。 成员:。。。 成员:。。。 联系方式:。。。 二零一四年十月二十五日

基本模数转换器(ADC )的设计 一.设计要求 (1) 设计一个每单次按下按钮,就能够实现数模转换的电路,并用LED 显示对应输入模拟电压(0—3V )的等级,当输入电压>3V 后,有“溢出”显示。 (2) 功能模块如图: (3) 图中的“模数转换”为本教材第六章的并行ADC 转换电路。在此基础上自行设计按键、LED 显示、模拟电压调节等模块,实现单次模数转换的功能。 模拟电压 调节模数转换LED 显示 按键 5V 电源

自行设计溢出标记的显示。 (4) 本电路的测试方法是,通过一个电位器对电源电压连续分压,作为ADC 的输入电压,每按下一次按键时,ADC 电路进行一次ADC 转换,并将转换的结果用数码管显示出来。注意不要求显示实际的电压值,仅显示模拟电压的量化等级。 二.电路原理图 LED 显示

三.设计思路 根据题目要求,我们的电路本应分五个个模块,但实验室缺少8-3编码器不能实现转化,所以只能有四个一下模块:模拟电压调节;比较电路;记忆模块;LED显示。模拟电压的调节可以用划变电阻来调节电压,理想中数模转化模块应由比较器,D触发器和编码器来实现,在我们的实际电路中我们只用了前两者。最终我们用LED的亮灭来显示结果。 具体原理叙述如下: 在比较电压时,将参考电压V ref经电阻分压器产生一组不同的量化电平V i:v1=1/16V ref,v2=3/16V ref,v3=5/16V ref ,v4=7/16V ref ,v5=9/16V ref ,v6=11/16V ref ,v7=13/16V ref ,v8=15/16V ref ,这些量化电平分别送到相应lm339比较器的反相输入端,而输入电压V同时作用于lm339比较器的同相输入端。 当V大于V i时,第i个比较器输出状态1,即高电平;反之,比较器输出状态0,即低电平。比较器的输出加到D触发器的输入端,在时钟脉冲CP的作用下,把比较器的输出存入触发器,得到稳定的状态输出Q,再由LED的亮暗状态显示,高电平则亮,低电平就暗。 当V≥15/16 V ref的时候,即V超过该转换器的最大允许的输入电压的时候产生“溢出”,我们使用了一个红色的报警LED亮作为显示。 此外,鉴于会因为按键时间的长短不一而造成的脉冲不整齐的问题,需要

全光模数转换的原理及进展_韩顺利

全光模数转换的原理及进展 韩顺利 胡为良 张 鹏 (中国电子科技集团公司第四十一研究所电子测试技术重点实验室,山东青岛266555 )摘要 由于电路时钟抖动和比较器弛豫等内禀属性的影响,传统的电子模数转换器已经不能满足高带宽数字信号处 理的发展。模数转换主要包括采样、量化和编码。全光模数转换引入光子技术来对模拟电信号采样和量化,可以提 高数字信号处理系统的性能,满足高速和高分辨率的需求,从而解决电子模数转换器的技术瓶颈问题。针对目前主要研究的全光模数方案,如泰勒方案、空间光干涉和偏振干涉的移相光量化方案、孤子自频移的方案、对称双波导长 周期波导光栅和波导阵列光栅的方案等, 介绍了其基本原理及实验方案,并对各种方案的特点进行了分析。关键词 信号处理;全光模数转换;光采样;光量化;电光调制器 中图分类号 TN911 文献标识码 A doi:10.3788/LOP50.080025 Principle and Progress of All-Optical Analog-to-Dig ital ConversionHan Shunli Hu Weiliang Zhang  Peng(Science and Technology  on Electronic Test and Measurement Laboratory,The 41st Research Institute ofChina Electronics Technology Group Corporation,Qingdao,Shandong2 66555,China)Abstract Due to the inherent timing jitter of the electronic clocking  circuits and comparator ambiguity,thetraditional electronic analog-to-digital conversion(ADC)cannot fulfill the development of high bandwidth dig italsignal processing.Analog-to-digital conversion consists of sampling,quantizing and coding.Introducing  photonictechnologies for sampling and quantization of the electrical analog  signal,all-optical ADC can improve theperformance of the digital signal processing system to achieve high sampling  rate and high resolution.Hence,itsolves the bottleneck problem of electronic ADC.The main recently  developed all-optical analog-to-digitalconverters,such as those based on Taylor scheme,interferometric and p olarization interference,phase-shiftedoptical quantization(PSOQ),soliton self-frequency  shift,long-period waveguide grating(LPWG)and arrayedwaveguide grating( AWG),are introduced.Meanwhile,the characteristics of different methods are analyzed.Key  words signal processing;all-optical analog-to-digital conversion;optical sampling;optical quantization;electro-op tical modulatorOCIS codes 0 70.4560;060.4510;070.1170 收稿日期:2013-03-08;收到修改稿日期:2013-04-28;网络出版日期:2013-07- 11作者简介:韩顺利(1982—) ,男,博士,工程师,主要从事光电测量仪器及红外技术等方面的研究。E-mail:eiq d@ei41.com1 引 言 数字信号在处理和传输方面有着诸多模拟信号所不具备的优势。高性能模数转换器作为模拟传感器与数字信号处理系统之间的纽带,性能指标要求也越来越高,无论是瞬息变化过程的观测与记录,还是超宽带通信、电子对抗、超宽带雷达接收机、人工智能系统、电子侦察、导航、核武器检测、飞行器身份识别、软件无线 电等应用领域[1-2],都要求GS/s量级以上的采样速率, 而且相应的精度要求也越来越高。因此模数转换(ADC) 技术的研究越来越成为现代数字信号处理中非常核心的关键技术。由于器件本身的限制,电子ADC在采样保持电路弛豫时间、 采样时钟精度等诸多方面存在着无法克服的瓶颈问题,不能满足高速高精度模数转换的需求,限制了电子ADC的发展。而利用光子技术实现模数转换在复用、脉冲时间抖动、处理信号带宽、抗干扰、远距离传输等方面表现出显著优势,因此随着锁模激光器、光学器件和全光量化编码方案的不断发展进步,将光子计数引入到对模拟电信号的采样和量化过程中,突破

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

模数转换器ADC应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的C MOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

ADC0809对输入模拟量要求:信号单极性,电压范围是0-5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 地址输入和控制线:4条 ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B,C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0-IN7上的一路模拟量输入。通道选择表如下表所示。 C B A 选择的通道 000IN0 001IN1 010IN2 011IN3 100IN4 101IN5 110IN6 111IN7 数字量输出及控制线:11条 ST为转换启动信号。当ST上跳沿时,所有内部寄存器清零;下跳沿时,开始进行A /D转换;在转换期间,ST应保持低电平。EOC为转换结束信号。当EOC为高电平时,表明转换结束;否则,表明正在进行A/D转换。OE为输出允许信号,用于控制三条输出锁存器向单片机输出转换得到的数据。OE=1,输出转换得到的数据;OE =0,输出数据线呈高阻状态。D7-D0为数字量输出线。 CLK为时钟输入信号线。因ADC0809的内部没有时钟电路,所需时钟信号必须由外界提供,通常使用频率为500KHZ, VREF(+),VREF(-)为参考电压输入。 2.ADC0809应用说明 (1).ADC0809内部带有输出锁存器,可以与AT89S51单片机直接相连。(2).初始化时,使ST和OE信号全为低电平。 (3).送要转换的哪一通道的地址到A,B,C端口上。 (4).在ST端给出一个至少有100ns宽的正脉冲信号。 (5).是否转换完毕,我们根据EOC信号来判断。 (6).当EOC变为高电平时,这时给OE为高电平,转换的数据就输出给单片机了。 3.实验任务

基于ARM的模数转换器的毕业设计

学生毕业论文(设计)题目基于ARM的模数转换器的设计 姓名 XX 学号 XX 系部 XXXX系 专业 XXXXXXX技术 指导教师 XXXX 职称 XXXX(XXXX) XXXX年 1 月 XX 日 XXXXXXXXXXX教务处制

目录 摘要 (3) 关键词 (3) Abstract (3) Keywords (3) 1 绪论 (4) 1.1 技术背景 (4) 1.2 选题意义 (4) 2 A/D转换器基本原理 (4) 2.1 A/D转换器的基本原理 (4) 2.2 A/D转换器的基本功能 (5) 2.3 A/D转换模块 (5) 2.3.1 A/D转换模块概述 (5) 2.3.2 A/D转换的技术特性 (5) 2.3.3 A/D转换的功能寄存器框图 (5) 2.3.4 A/D转换初始化 (6) 2.3.5 A/D转换的操作 (6) 3 A/D转换器的设计 (7) 3.1 A/D转换器的工作原理 (7) 3.2 A/D转换电路 (8) 3.3 A/D转换器的原理图 (8) 4 A/D转换仿真结果 (9) 4.1 仿真设备 (9) 4.2 仿真设备简介 (9) 4.2.1 ADS1.2仿真软件 (9) 4.2.2 MagicARM2200 实验箱 (9) 4.3 仿真步骤 (12) 4.4 ADS1.2软件仿真 (12) 4.4.1 仿真软件 (12) 4.4.2 仿真硬件 (14) 4.5 仿真结果 (15) 结束语 (16) 致谢 (16) 参考文献 (16) 附录参考源程序 (16)

基于ARM的模数转换器的设计 XXXXXXX技术专业学生 XX 指导老师 XXXX 摘要:随着数字技术,特别是信息技术的飞速发展及普及,在现代控制通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别,处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析,处理后输出的数字量也往往需要将其转换为相应模拟信号才能执行机构所接受。这样就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换器。A/D转换器已成为信息系统中不可缺少的接口电路。为确保系统处理结果的精度,A/D转换器必须具有足够的转换精度,如果要实现快速变化信号的实时控制与检测,A/D转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D的重要指标。随着集成技术的发展,现已研制和生产出许多单片和混合集成型的A/D转换器,它们具有愈来愈先进的技术指标。本文主要介绍了在ARM系统下,通过对A/D转换模块的设计。学习A/D接口原理及硬件电路,了解ARM的A/D 相关寄存器,利用外部模拟信号编程,实现ARM系统的A/D功能,掌握带有A/D的ARM编程实现A/D 功能的主要方法。 关键词:模数转换器;ADC模块;系统设计;仿真 ARM-based analog-to-digital converter design Student majoring in Computer-controlled technology professional XXX Ting Tutor XXX Abstract:The advent of digital technology, especially the rapid development of the information technology and the popularity of the field of modern control communication and detection, in order to improve system performance, signal processing widespread adoption of digital computer technology. Since the actual object of the system are often some analog quantity (such as temperature, pressure, displacement, image, etc.), make the computer or digital instrument can recognize, process these signals, you must first convert these analog signals into digital signals; while via computer analysis, the digital output after the processing is also often need to be converted to the corresponding analog signals in order to perform bodies accepted. Need a between the analog and digital signals from the bridge circuit - ADC .A / D converter the interface circuit has become indispensable in the information system. To ensure the accuracy of the system processing the results of the A / D converter must have a sufficient accuracy of the conversion, A / D converter is also required to have a higher conversion speed; if you want to achieve the real-time control and detection of rapidly changing signal. Conversion accuracy and conversion speed is an important indicator to measure the A / D. With the development of integration technology, has been developed and produced many monolithic and hybrid integration of A / D converter, they have more and more state-of-the-art technical indicators. This paper describes the ARM system, through the design of the A / D converter module. Learning A / D interface principle and the hardware circuit, ARM's A / D register, the use of external analog signals programmed to achieve a the ARM system of A / D function, master ARM programming with an A / D A / D function method. Keywords: analog-to-digital converter; ADC module; system design;simulation

∑-△模数转换器工作原理

∑-△ADC工作原理 越来越多的应用,例如过程控制、称重等,都需要高分辨率、高集成度和低价格的ADC、新型∑-△转换技术恰好可以满足这些要求。然而,很多设计者对于这种转换技术并不十分了解,因而更愿意选用传统的逐次比较ADC。∑-△转换器中的模拟部分非常简单(类似于一个1bit ADC),而数字部分要复杂得多,按照功能可划分为数字滤波和抽取单元。由于更接近于一个数字器件,∑-△ADC的制造成本非常低廉。 一、∑-△ADC工作原理 要理解∑-△ADC的工作原理,首先应对以下概念有所了解:过采样、噪声成形、数字滤波和抽取。 1.过采样 首先,考虑一个传统ADC的频域传输特性。输入一个正弦信号,然后以频率fs采样-按照Nyquist 定理,采样频率至少两倍于输入信号。从FFT分析结果可以看到,一个单音和一系列频率分布于DC到fs /2间的随机噪声。这就是所谓的量化噪声,主要是由于有限的ADC分辨率而造成的。单音信号的幅度和所有频率噪声的RMS幅度之和的比值就是信号噪声比(SNR)。对于一个Nbit ADC,SNR可由公式:SNR=6.02N+1.76dB得到。为了改善SNR和更为精确地再现输入信号,对于传统ADC来讲,必须增加位数。 如果将采样频率提高一个过采样系数k,即采样频率为Kfs,再来讨论同样的问题。FFT分析显示噪声基线降低了,SNR值未变,但噪声能量分散到一个更宽的频率范围。∑-△转换器正是利用了这一原理,具体方法是紧接着1bit ADC之后进行数字滤波。大部分噪声被数字滤波器滤掉,这样,RMS噪声就降低了,从而一个低分辨率ADC, ∑-△转换器也可获得宽动态范围。 那么,简单的过采样和滤波是如何改善SNR的呢?一个1bit ADC的SNR为7.78dB(6.02+1.76),每4倍过采样将使SNR增加6dB,SNR每增加6dB等效于分辨率增加1bit。这样,采用1bit ADC进行64倍过采样就能获得4bit分辨率;而要获得16bit分辨率就必须进行415倍过采样,这是不切实际的。∑-△转换器采用噪声成形技术消除了这种局限,每4倍过采样系数可增加高于6dB的信噪比。 2.噪声成形 通过图1所示的一阶∑-△调制器的工作原理,可以理解噪声成形的工作机制。 图1 ∑-△调制器 ∑-△调制器包含1个差分放大器、1个积分器、1个比较器以及1个由1bit DAC(1个简单的开关,可以将差分放人器的反相输入接到正或负参考电压)构成的反馈环。反馈DAC的作用是使积分器的平均输出电压接近于比较器的参考电平。调制器输出中“1”的密度将正比于输入信号,如果输入电压上升,比较器必须产生更多数量的“1”,反之亦然。积分器用来对误差电压求和,对于输入信号表现为一个低通滤波器,而对于量化噪声则表现为高通滤波。这样,大部分量化噪声就被推向更高的频段。和前面的简单过采样相比,总的噪声功率没有改变,但噪声的分布发生了变化. 现在,如果对噪声成型后的∑-△调制器输出进行数字滤波,将有可能移走比简单过采样中更多的噪声。这种调制器(一阶)在每两倍的过采样率下可提供9dB的SNR改善。

TLC549模数转换器的控制实验

A/D芯片TLC549的转换与数据采集实验 1、实验目的 (1) 掌握A/D转换与单片机的接口方法; (2)掌握A/D芯片TLC549的编程方法; (3)掌握数据采集程序的设计方法; 2、实验内容 利用实验开发装置上的TLC549做A/D转换器,对电位器提供的模拟电压信号进行定时采样,结果在数码管上进行显示。 3、I/O地址 A/D转换芯片 TLC549 CLK P1.5 时钟位 DAT P1.7 数据位 CS P1.6 选片位 4、实验线路 将TLC549的CLK接P1.5、DAT接P1.7、CS接P1.6,将模拟电压输入端连到电位器的电压输出端,并接万用表进行输入电压测量。 5、实验步骤 在PC机输入源程序并汇编,然后下载到单片机上,进行调试。 调节电位器,电压从0V到5V变化,记录数码管的显示数值。记录到表中。

6、实验报告 (1) 整理好实验程序和实验记录,进行数据处理分析并做图。 (2) 数据采集中,如何实现精确的定时数据采集? (3) 数码管动态扫描显示程序设计中,显示刷新的时间如何确定? TLC549实验程序 #include "reg52.h" #include #define uchar unsigned char #define uint unsigned int /*************************************************** TLC549 A/D转换 ****************************************************/ sbit CLK=P1^5; sbit DAT=P1^7; sbit CS =P1^6; uchar TLC549ADC(void) { uchar i,x; CLK=0; DAT=1; CS=0; for(i=0;i<8;i++) { CLK=1; x<<=1; if(DAT==1) x++; CLK=0; } CS=1; return (x); } uint y; uchar x; void main() { while(1)

模数转换器综述_ADC

模数转换器ADC_综述 随着数字技术,特别是计算机技术的飞速发展普及,在现代控制、通讯及检测领域中,对信号的处理广泛采用了数字计算机技术。由于系统的实际处理对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别和处理这些信号,必须首先将这些模拟信号转换成数字信号。这样,就需要一种能将模拟信号转换为数字信号的电路,即模数转换电路(Analog to Digital Converter, ADC)。 模数转换过程 模数转换包括采样、保持、量化和编码四个过程。采样就是将一个连续变化的信号x(t)转换成时间上离散的采样信号x(n)。根据Nyquist-Shannon theorem采样定理,采样频率至少要大于或等于模拟信号最高频率的两倍,才可以无失真地重建恢复原始信号x(t)。通常采样脉冲的宽度是很短的,故采样输出是截断的窄脉冲。要将一个采样输出信号数字化,需要将采样输出所得的瞬时模拟信号保持一段时间,这就是保持过程。图1即为采样过程。 图1采样过程 量化是将连续幅度的抽样信号转换成离散时间、离散幅度的数字信号,数字信号最低有效位中的1表示的数量大小,就等于量化单位Q,如图2所示。把量化的数值用二进制代码表示,称为编码,见图3。这个二进制代码就是ADC转换的输出信号。 量化的主要问题就是量化误差。既然模拟电压是连续的,那么它就不一定能被Q整除,因而不可避免的会引入误差,我们把这种误差称为量化误差。在把模拟信号划分为不同的量化等级时,用不同的划分方法可以得到不同的量化误差。 图2采样过程

图3编码过程 要提高ADC的精度,可以通过提高采样间隔Ts和分辨率Q来实现。实际中,输入模拟信号的频率由于存在无限次谐波,因此要在采样前加入抗混叠滤波器,该滤波器与采样频率的关系一般为:f s≈ (3…5)*f filter。图4描述了这一过程。 图4加入抗混叠滤波器 模数转换技术是现实各种模拟信号通向数字世界的桥梁,作为将模拟信号转换成数字信号的模数转换技术主要有以下几种。 分级型和流水线型ADC主要应用于高速情况下的瞬态信号处理、快速波形存储与记录、高速数据采集、视频信号量化及高速数字通讯技术等领域。逐次逼近型、积分型、压频变换型等,主要应用于中速或较低速、中等精度的数据采集和智能仪器中。∑-Δ型ADC主应用于高精度数据采集特别是数字音响系统、多媒体、地震勘探仪器、声纳等电子测量领域。此外,采用脉动型和折叠型等结构的高速ADC,可应用于广播卫星中的基带解调等方面。下面对各种类型的ADC作简要介绍。 并行比较型 并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash型。由于转换速率极高,转换需要很多个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。其原理如图5所示。

实验十ADC0832数模转换的显示

实验报告十 实验名称:ADC0832数模转换的显示 目的:ADC0832是8脚双列直插式双通道A/D转换器,能分别对两路模拟信号实现模—数转换,可以用在单端输入方式和差分方式下工作。ADC0832采用串行通信方式,通过DI 数据输入端进行通道选择、数据采集及数据传送。8位的分辨率(最高分辨可达256级),可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。具有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。 ADC0832的工作原理: 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时使用并与单片机的接口是双向的,所以在I/O口资源紧张时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟(CLK)输入端输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第一个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第二、三个脉冲下沉之前DI端应输入两位数据用于选择通道功能。 通道地址通道 工作方式说明 SGL/DIF ODD/SIGN 0 1 0 0 + - 差分方式 0 1 - + 1 0 + 单端输入方式 1 1 + 表1:通道地址设置表 如表1所示,当此两位数据为“1”、“0”时,只对CH0 进行单通道转换。当2位数据为“1”、“1”时,只对CH1进行单通道转换。当两位数据为“0”、“0”时,将CH0作为正输入端IN+,CH1作为负输入端IN-进行输入。当两位数据为“0”、“1”时,将CH0作为负输入端IN-,CH1 作为正输入端IN+进行输入。到第三个脉冲的下降之后DI端的输入电平就失去输入作用,此后DO/DI端则开始利用数据输出DO进行转换数据的读取。从第4个脉冲下降沿开始由DO端输出转换数据最高位Data7,随后每一个脉冲的下降沿DO端输出下一位数据。直到第11个脉冲时发出最低位数据Data0,一个字节的数据输出完成。也正是从此位开始输出下一个相反字节的数据,即从第11个字节的下降沿输出Data0。随后输出8位数据,到第19 个脉冲时数据输出完成,也标志着一次A/D 转换的结束。最后将CS置高电平禁用芯片,直接将转换后的数据进行处理就可以了。时序说明请参照图4。

相关主题
文本预览
相关文档 最新文档