当前位置:文档之家› 组合逻辑电路习题解答

组合逻辑电路习题解答

组合逻辑电路习题解答
组合逻辑电路习题解答

习题

写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。

习题图

解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能

分析图所示电路,写出输出函数F 。

习题图 解:[]B A B B

B A F ⊕=⊕⊕⊕=)(

已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.

解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???=

由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。 (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。

习题图

解:(1)ABD BC CD ABD BC CD L ++=??= (2)

A C & &

& & L B A =1 =1 =1

F

F A B ? ?

? ? ? F B A

(3)根据真值表可知,四个人当中C 的权利最大。

分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。

习题图

解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2)

(3)当S 1S 0=00和S 1S 0=11S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 (2)

A 10

电路逻辑功能为:“判输入ABC 是否相同”电路。

已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。

习题图 解:(1)根据波形图得到真值表:

C AB BC A C B A F ++=

、设∑=

)14,12,10,9,8,4,2()

,,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。

1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 解:1)

(1)将逻辑函数化成最简与或式并转换成最简与非与非式。

F

C B A

F

D C B D A D C B D C B D A D C B D C B D A D C B F ??=++=++=

(2)根据最简与非与非式画出用与非门实现的最简逻辑电路。

2)

(1) 将逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

(2) 利用反演规则将逻辑函数化成最简或与式并转换成最简或非或非式。

D

C A C B A

D B D A C B A D C A C B A D B D A C B A D C A C B A D B D A C B A F ++++++++++++=++++++++=++++++++=))()()()(())()()()((

(3)根据最简或非或非式画出用或非门实现的最简逻辑电路。(图略) 3)

(1)由上步可知逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

(2)则逻辑函数的最简与或非式为。

ACD BC A BD D A C B A F ++++=

(3)根据最简与或非式画出用与或非门实现的最简逻辑电路。(图略)

、设计一个由三个输入端、一个输出端组成的判奇电路,其逻辑功能为:当奇数个输入信号为高电平时,输出为高电平,否则为低电平。要求画出真值表和电路图。

L F

解:(1)根据题意,设输入逻辑变量为A 、B 、C ,输出逻辑变量为F ,列出真值表为:

(2)由真值表得到逻辑函数表达式为:

C B A ABC C B A C B A C B A F ⊕⊕=+++=

(3)画出逻辑电路图

、试设计一个8421BCD 码的检码电路。要求当输入量ABCD ≤4,或≥8时,电路输出L 为高电平,否则为低电平。用与非门设计该电路。 解:(1

(2)15,14,13,12,11,10()9,8,4,3

,2,1,0()(0123m m D D D D L ∑+∑=

(3)将输出逻辑函数表达式化简并转换为与非与非式为:

0120120123)(D D D D D D D D D D L ?=+=

(4)画出逻辑电路图

B A =1 =1

C F

D L

、一个组合逻辑电路有两个功能选择输入信号C 1、C 0,A 、B 作为其两个输入变量,F 为电路的输出。 当C 1C 0取不同组合时,电路实现如下功能:

1.C 1C 0=00时,F=A 2.C 1C 0=01时,F= A ⊕B 3.C 1C 0=10时,F=AB 4.C 1C 0=11时,F=A+B

试用门电路设计符合上述要求的逻辑电路。 解:(1)根据题意,列出真值表

(2)由真值表列出逻辑函数表达式为:

B A

C AB C B A C A C C m B A C C F 0100101)15,14,13,11,6,5,1,0(),,,(+++=∑=

(3)根据逻辑函数表达式画出逻辑电路图。

、 8-3线优先编码器74LS148

(1) 6=0,3=0,其余为1;(2) EI=0,6=0,其余为1(3) EI=0,6=0,7=0,其余为1; (4) EI=0,0~7全为0; (5) EI=0,0~7全为1。

F

解:(1)74LS148在输入6=0,3=0,其余为1时,输出所有端均为1。

(2)74LS148在输入EI=0,6=0,其余为1时,输出A 2 A 1 A 0 =001,CS=0,EO=1。

(3)74LS148在输入EI=0,6=0,7=0,其余为1时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (4)74LS148在输入EI=0,0~7全为0时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (5)74LS148在输入EI=0,0~7全为1时,输出A 2 A 1 A 0 =111,CS=1,EO=0。 、试用8-3线优先编码器74LS148连成32-5线的优先编码器。 解:

、4-16线译码器74LS154接成如习题图所示电路。图中S 0、S 1为选通输入端,芯片译码时,S 0、S 1同时为0,芯片才被选通,实现译码操作。芯片输出端为低电平有效。

(1) 写出电路的输出函数F 1(A,B,C,D)和F 2(A,B,C,D)的表达式,当ABCD 为何种取值时,

函数F 1=F 2=1;

(2) 若要用74LS154芯片实现两个二位二进制数A 1A 0,B 1B 0的大小比较电路,即A >B 时,

F 1=1;A <B 时,F 2=1。试画出其接线图。

习题图 解:(1)∑=

)14,13,9,7,4,0(),,,(1m D C B A F

∑=)15,13,9,8,7,5(),,,(2m D C B A F

当ABCD=0111或ABCD=1001或ABCD=1101时,F 1=F 2=1。 (2)由题意得到真值表如下:

Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 7Y 8Y 9Y 10Y 11Y 12Y 13Y 14Y 15

&

& S 1 S 0 F 1 A 3 A 2 A 1 A 0 F 2

A 1A 0

B 1B 0 F 1F 2

A 1A 0

B 1B 0 F 1F 2 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1

0 0 0 1 0 1 0 1 1 0 0 0 0 1 0 1

1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

1 0 1 0 0 0 0 1 1 0 1 0 1 0 0 0

∑=)14,13,12,9,8,4(),,,(1m D C B A F ∑=)11,7,6,3,2,1(),,,(2m D C B A F

画出逻辑电路图为:

4、16用74LS138译码器构成如习题图所示电路,写出输出F 的逻辑表达式,列出真值表并说明电路功能。

习题图

解:(1)由题可得逻辑函数表达式为:

∑=)7,421(),,(,,m C B A F

(2)列出真值表如下:

电路的逻辑功能为:奇偶判别电路。

4、17试用74LS138译码器和最少的与非门实现逻辑函数

1)∑=

)7,6,2,0(C)B,(A,F 1m

2)F 2(A,B,C)=A ⊙B ⊙C 解:(1)

(2)F 2(A,B,C)=A ⊙B ⊙C ∑=

)7,4,2,1(m

、试用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器。

解:用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器如图所示。 A B C F

0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0

1

1

1

1

Y 24~Y 31

A 3Y 8~Y 15 A 4

、已知8421BCD可用7段译码器,驱动日字LED管,显示出十进制数字。指出下列变换真值

解:第二行4的显示是正确的。

、已知某仪器面板有10只LED构成的条式显示器。它受8421BCD码驱动,经译码而点亮,如图所示。当输入DCBA=0111时,试说明该条式显示器点亮的情况。

习题图

解:由图可知,二极管0~7均为亮的,8、9为熄灭的。

、74LS138芯片构成的数据分配器电路和脉冲分配器电路如习题图所示。(1)图(a)电路中,数据从G1端输入,分配器的输出端得到的是什么信号。(2)图(b)电路中,G2A端加脉冲,芯片的输出端应得到什么信号。

10

(a )

(b)

习题图

解:图(a)电路中,数据从G 1端输入,分配器的输出端得到的是G 1的分配信号的非。 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到的是G 2A 的分配信号。 、 用8选1数据选择器74LS151构成如习题图所示电路,(1)写出输出F 的逻辑表达式,(2)用与非门实现该电路;(3)用译码器74LS138和与非门实现该电路。

习题图 解:(1)由图可知输出F 的逻辑函数表达式为:

ACD

D C A D B A D B A ,,,m D C B A F +++==∑)15,12,11,86,

431(),,,(

(2)略

(3)当D=1时,),,()7,5,1,0(1

C B A F m AC B A F ==

+=∑

当D=0时,),,()6,4,3,2(2

C B A F m C A B A F ==

+=∑

用两片译码器和与非门实现如下:

D

、试用74LS151数据选择器实现逻辑函数。

1)∑=

)7,4,2,1(C)B ,(A,F 1m

2)∑=)14,13,12,11,9,7,6,5,1(D)C,B ,(A,F 2m 。

3)∑∑+=)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B ,(A,F 3d m 。

解:(1)

(2)

D

ABC C AB CD B A D C B A BC A D C B A D C B A D ABC D C AB D C AB CD B A D C B A BCD A D BC A D C B A D C B A m ?+?++?+?+?+?=++++++++==∑11)

14,13,12,11,9,7,6,5,1(D)C,B,(A,F 2

A B

C

(3)

D

C B A

D C B A C B A BC A C B A d m ++?+?+?=+=∑∑111)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B,(A,F 3

、8选1数据选择器74LS151芯片构成如习题图所示电路。图中G 为使能端,G=0时,芯片正常工作;G=1时,Y=0(W=1)。分析电路功能,写出电路输出函数F 的表达式。

习题图

解:由题所给逻辑电路图可知,输出逻辑函数表达式为:

CD

B D

C B A

D BC C B A C B A D B A CD B A D C B A D BC C B A C B A D C B A D ABC CD B A D C B A D BC A C B A C B A D C B A D ABC C AB CD B A D C B A D BC A C B A C B A D C B A +++++=+++++=?++?+?+?+?+?=?+?++?+?+?+?+?=

11011D)C,B,F(A,、试用中规模器件设计一并行数据监测器,当输入4位二进制码中,有奇数个1时,输出F 1为1;当输入的这4位二进码是8421BCD 码时,F 2为1,其余情况F 1、F 2均为0。 解:(1)

0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

0 1

1 1

1 1

0 1

1 1

0 1

0 1

1 1

1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1

1 1 0 0

1 1 0 1

1 1 1 0

1 1 1 1

1 1

0 1

0 0

1 0

0 0

1 0

1 0

0 0

(2)由真值表得到输出逻辑函数表达式为:

=)

14

,

13

,

11

,8,7,4,2,1(

D)

C,

B,

(A,

F

1

m

=)9,8,7

6

5,4

3,2,1

0(

D)

C,

B,

(A,

F

2

m

(3)用74LS154实现逻辑函获数如图所示。

、四位超前进位全加器74LS283组成如习题图所示电路,分析电路,说明在下述情况下电路输出CO和S3S2S1S0的状态。

(1)K=0 A3A2A1A0=0101 B3B2B1B0=1001

(2)K=0 A3A2A1A0=0111 B3B2B1B0=1101

(3)K=1 A3A2A1A0=1011 B3B2B1B0=0110

(4)K=1 A3A2A1A0=0101 B3B2B1B0=1110

CO S3 S2 S1 S0

74LS283 CI

A3 B3 A2 B2 A1 B1 A0 B0

=1=1=1=1

3 3 2 2 1 1 00

习题图

解:(1)当K=0,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1001时,输出S 3S 2S 1S 0=1110,CO=0。 (2)当K=0,A 3A 2A 1A 0=0111,B 3B 2B 1B 0=1101时,输出S 3S 2S 1S 0=0100,CO=1。 (3)当K=1,A 3A 2A 1A 0=1011,B 3B 2B 1B 0=0110时,输出S 3S 2S 1S 0=0101,CO=1。 (4)当K=1,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1110时,输出S 3S 2S 1S 0=0111,CO=0。 、试将74LS85接成一个五位二进制数比较器。

解:将74LS85接成一个五位二进制数比较器如图所示,输入两个五位二进制数分别为X 4X 3X 2X 1X 0和Y 4Y 3Y 2Y 1Y 0

、试用74LS 185实现六位二进制数到BCD 码的码组转换电路。

解:用74LS 185实现六位二进制数到BCD 码的码组转换电路如图所示。D 5D 4D 3D 2D 1D 0 为六位二进制数的输入,B 3B 2B 1B 0 A 3A 2A 1A 0为两位十进制数的8421码的输出。

、设每个门的平均传输延迟时间t pd =20ns ,试画出习题图所示电路中A 、B 、C 、D 及v O 各点的波形图,并注明时间参数,设v I 为宽度足够的矩形脉冲.

习题图

解:电路中A 、B 、C 、D 及v O 各点的波形如图所示。

D v I

1 v O

?

?

1 ? ? ? 1 1 ? ?

A B C

74185 EN

2 2

4 4

8 8

16 10

32 20 40

80 D 0

D 1

D 2

D 3

D 4 D 5 0 1 2 3 0 1 2 3 0

、下列各逻辑函数中,其中无冒险现象的为:

A .BC A

B A D A D

C B A F ++=),,,( B .

D BC B A D A D C B A F ++=),,,(; C .BC A D C D A D C B A F ++=),,,(; D .C AB C B A D A D C B A F ++=),,,(.

解:由题可知,A 式中无冒险现象。

、 TTL 或非门组成的电路如习题图所示。

(1) 分析电路在什么时刻可能出现冒险现象?

(2) 用增加冗余项的方法来消除冒险,电路应该怎样修改?

习题图

解:(1)当A=1,B=D=0时,可能会出现冒险现象。

(2)电路在最后一个或非门的输入端增加一个D B + 项。

≥1

≥1 ≥1

≥1 A C 1 B

D L

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

门电路与组合逻辑电路

第七章门电路与组合逻辑电路 习题一 一、选择题 1. 三态门输出高阻状态时,是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 2. 以下电路中可以实现“线与”功能的有。 A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 3.以下电路中常用于总线应用的有。 A.T S L门 B.O C门 C.漏极开路门 D.C M O S与非门 4.逻辑表达式Y=A B可以用实现。 A.正或门 B.正非门 C.正与门 D.负或门 5.T T L电路在正逻辑系统中,以下各种输入中相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7kΩ接电源 C.通过电阻 2.7kΩ接地 D.通过电阻510Ω接地 6.对于T T L与非门闲置输入端的处理,可以。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端 并联 7.要使T T L与非门工作在转折区,可使输入端对地外接电阻R I。 A.>R O N B.<R O F F C.R O F F<R I<R O N D.>R O F F 8.三极管作为开关使用时,要提高开关速度,可。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管 9.C M O S数字集成电路与T T L数字集成电路相比突出的优点是。 A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽 10.与C T4000系列相对应的国际通用标准型号为。 A.C T74S肖特基系列 B.C T74L S低功耗肖特基系列 C.C T74L低功耗系列 D.C T74H高速系列 二、判断题(正确打√,错误的打×) 1.TTL与非门的多余输入端可以接固定高电平。() 2.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。() 4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。() 5.CMOS或非门与TTL或非门的逻辑功能完全相同。()

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

数电实验二组合逻辑电路

数电实验二组合逻辑电路 The following text is amended on 12 November 2020.

实验二 组合逻辑电路 一、实验目的 1.掌握组和逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及器件 1.仪器:数字电路学习机 2.器件:74LS00 二输入端四与非门 3片 74LS86 二输入端四异或门 1片 74LS54 四组输入与或非门 1片 三、实验内容 1.组合逻辑电路功能测试 (1).用2片74LS00按图连线,为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 (2).图中A 、B 、C 接电平开关,Y1、Y2接发光管电平显示 (3).按表要求,改变A 、B 、C 的状态,填表并写出Y1、Y2的逻辑表达式。 (4).将运算结果与实验比较。 Y1=A+B ,C B B A Y +=2 2.测试用异或门(74LS86)和与非门组成的半加器的 逻辑功能。 根据半加器的逻辑表达式可知,半加器Y 是A 、B 的 异或,而进位Z 是A 、B 相与,故半加器可用一个集成异 或门和二个与非门组成,如图。 (1).用异或门和与非门接成以上电路。输入A 、B 接 电平开关,输出Y 、Z 接电平显示。 (2).按表要求改变A 、B 状态,填 表。 3.测试全加器的逻辑功能。 (1).写出图电路的逻辑表达式。 (2).根据逻辑表达式列真值表。 (3).根据真值表画逻辑函数SiCi 的卡诺图。 (4).连接电路,测量并填写表各输入 输出 A B C Y1 Y2 0 0 0 0 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 1 输入 输出 A B Y Z 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A i B i C i-1 Y Z X 1 X 2 X 3 S i C i 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 0 1 1 0 1

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

门电路及组合逻辑电路复习答案

第九章 门电路及组合逻辑电路 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、最基本的三种逻辑运算是 、 、 。(与、或、非) 5、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 6、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 7、半导体二极管具有 性,可作为开关元件。(单向导电) 8、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 9、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 10、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门) 11、与门电路和或门电路具有 个输入端和 个输出端。(多、一) 12、非门电路是 端输入、 端输出的电路。(单、单) 13、根据逻辑功能的不同特点,逻辑电路可分为两大类: 和 。(组合逻辑电路、时序逻辑电路) 14、组合逻辑电路主要是由 、 和 三种基本逻辑门电路构成的。(与门、或门、非门) 15、(1)2(10011011)(= 8)(= 16) 答:233、9B (2)16()(AE = 2)(= 8) 答:10101110、256 (3)()125(10= 2) (4)()375.13(10= 2) 答:(1)1111101(2)1101.011 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。 (√) 2、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 3、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

实验二组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计方法及功能测试方法。 2、熟悉组合电路的特点。 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一 般步骤如图2 —1所示。 图2—1组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化 简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的 逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2 、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“ 1”。'\ /设计步骤:根据题意列出真值表如表2—1所示,再填入卡诺图表2 —2中。 表2—

、1110 \DA BC、\0001 000000 01001\ 0 110111 100010 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z = ABO BCH ACDF ABD =ABC BCD ACDABC 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2- 2所示。 A B C B C D A C D A B D 图2 —2表决电路逻辑图 用实验验证该逻辑功能 在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012按图2 —2接线,输入端A、B、C D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2—1进行比较,验证所设计的逻辑电路是否符合要求。 三、实验设备与器件 1 、 + 5V直流电源2、逻辑电平开关 3 、逻辑电平显示器4、直流数字电压表 5、CC4011X 2 ( 74LS00)CC4012 X 3 (74LS20)CC4030 (74LS86) CC4081 (74LS08)74LS54 X 2(CC4085)CC4001 (74LS02)

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

(完整版)第九章门电路及组合逻辑电路复习答案

第九章门电路及组合逻辑电路 一、填空题 1、 模拟信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、连续) 2、 数字信号的特点是在 ______ 和 ______ 上都是 __________ 变化的。(幅度、时间、不连续) 3、 数字电路主要研究 ______ 与 ______ 信号之间的对应 ________ 关系。(输出、输入、逻辑) 4、 最基本的三种逻辑运算是 _______ 、 ________ 、 _________ 。(与、或、非) 5、 逻辑等式三个规则分别是 _______ 、 ________ 、 _________ 。(代入、对偶、反演) 6 逻辑函数常用的表示方法有 _________ 、 ________ 和 __________ o (真值表、表达式、卡诺图、逻 辑图、波形图五种方法任选三种即可) 7、 半导体二极管具有 ______ 性,可作为开关元件。(单向导电) 8、 半导体二极管 __________ 时,相当于短路; ______ 时,相当于开路。(导通、截止) 9、 半导体三极管作为开关元件时工作在 __________ 状态和 ___________ 状态。(饱和、截止) 10、 在逻辑门电路中,最基本的逻辑门是 _____ 、 ______ 和 ______ o (与门、或门、非门) 11、 与门电路和或门电路具有 _____ 个输入端和 _____ 个输出端。(多、一) 12、 非门电路是 ___ 端输入、 _______ 端输出的电路。(单、单) 13、 根据逻辑功能的不同特点,逻辑电路可分为两大类: _________ 和 ________ 。(组合逻辑电路、 、判断题 1、十进制数74转换为8421BC [码应当是(01110100) 8421 BCD 。 (V ) 2、 十进制转换为二进制的时候,整数部分和小数部分都要采用除 2取余法。(X ) 3、 若两个函数相等,贝尼们的真值表一定相同;反之,若两个函数的真值表完全相同,贝U 这两个 函数未必相等。(X ) 4、 证明两个函数是否相等,只要比较它们的真值表是否相同即可。 (V ) 时序逻辑电路) 14、组合逻辑电路主要是由 ____ 、 ____ 和 15、 (1) (10011011)2 ( )8 ( (2) (AE )16 ( ) 2 ( )8 (3) (125)10 ( ) 2 (4) (13.375)10 ( )2 答:(1) _三种基本逻辑门电路构成的。(与门、或门、非门) )16 答:233、9B 答:10101110 256 1111101 (2) 1101.011

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

组合逻辑电路练习题及答案.

第三章 组合逻辑电路练习题及答案 [3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [3.3] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++=

B C D A C D A B D A B C ???= [3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个 水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 [解] 题3.4的真值表如表A3.4所示。 真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到:

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

实验二(新版)组合逻辑电路(一)

电子科技大学中山学院学生实验报告 系别:机电工程学院专业:自动化课程名称:数字逻辑设计及应用实验 成绩:教师签名:批改时间: 1.实验目的与要求 通过实验,能够掌握加法器和数据选择器的原理和应用。 2.实验设备 ●硬件:PC机一台 数字电路实验教学平台一台 ●软件:Quartus II 集成开发环境 3.实验内容 (1) 运用7483实现4位以内二进制加法; (2) 利用比较器(7485)实现4位二进制数的比较。 4.实验预习要求 仔细阅读课本第五章的加法器和数码比较器,理解加法器和数码比较器的原理和功能。 5.实验原理 (1) 7483是具有先行进位功能的4位进制全加器,7483的逻辑符号如图2.1所示。实现2个3位二进制数相加,只要将2个加数分别置于A2A1A0和B2B1B0,并将A3、B3和C0置“0”,相加的结果是4位以内的二进制数,在S3S2S1S0上输出,输出结果通过4个LED灯显示。在实验过程2个加数A2A1A0和B2B1B0,可以通过V CC或者GND设置成高电平或者低电平,也可以通过拨码开关设置加数。 图2.1 7483 逻辑符号

(2) 数码比较器简称比较器,用于比较2个数的大小,并给出“大于”、“小于”和“等于”三种比较结果。2个多位进制数比较大小的典型方法是从高位开始,逐位比较,若高位不同,则结果立现,不必再对低位进行比较;若高位相等,则比较结果由低位的比较位的比较结果决定。如图2.2所示为采用并行比较结构的4位二进制数比较器7485的逻辑符号,其功能表如表2.1所示。 参加比较的2个4位二进数A2A1A0和B2B1B0可以通过VCC或者GND设置成高电平或者低电平,也可以通过拨码开关设置加数。结果可以通过接在ALBO、AEBO、AGBO 的LED灯亮暗状态反映出来。 图2.2 7485 逻辑符号 表2.1 7485 功能表

相关主题
文本预览
相关文档 最新文档