当前位置:文档之家› 最新集成电路设计练习题

最新集成电路设计练习题

最新集成电路设计练习题
最新集成电路设计练习题

集成电路设计练习题2009

1、说明一个半导体集成电路成本的组成。

2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。

3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。

4、你知道的集成电路设计的表达方式有哪几种?

5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。

6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识?

7、描述你对集成电路设计流程的认识。

8、集成电路前端设计流程,后端设计流程,相关的工具。

9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.

10、简述FPGA等可编程逻辑器件设计流程。

11、简述半定制数字电路的设计流程。

12、简要说明并比较数字集成电路几种不同的实现方法。

13、什么是集成电路的设计规则。

14、同步电路和异步电路的区别是什么?

15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E)

16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么?

17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?

18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA

19、画出CMOS与非门的电路,并画出波形图简述其功能。

20、latch与register的区别,为什么现在多用register。行为级描述中latch如何产生的。

21、FPGA和ASIC的概念,他们的区别。

22、Please explain how we describe the resistance in semiconductor. Compare the resistance of a metal, poly and diffusion in traditional CMOS process.(威盛笔试题circuit design-beijing-03.11.09)

23、Please show the CMOS inverter schematic, layout and its cross section with P-well process. Plot its transfer curve (V out-Vin). And also explain the operation region of PMOS and NMOS for each segment of the transfer curve?

24、Please draw the transistor level schematic of a CMOS 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。

25、To design a CMOS inverter with balance rise and fall time, please define the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?

27、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转移特性。(Infineon笔试试题)

28、目前集成电路产业发展到IP/Soc阶段,你是怎么理解IP复用技术的?

29、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些?

b) 试用VHDL或VERILOG描述8位D触发器逻辑。

30、评价数字集成电路设计质量的指标有哪些?他们分别用什么来表示(或衡量)?

31、你认为目前数字集成电路设计中亟待解决的问题有哪些?为什么?

32、MOSFET本征寄生电容的来源是什么。计算一个具有以下参数的NMOS管零偏置时所有相关电容的值。

33、特征尺寸的不断缩小对MOS管的工作特点和性质以及间接的对数字电路设计指标等有什么影响。

34、工艺尺寸的缩小对互连线有什么影响?

35、集成电路的导线引哪些寄生参数效应,他们对电路的特性有什么影响?

36、叙述静态CMOS的重要特性。

37、降低电源电压对CMOS管稳定性有何影响。

38、推导反相器一阶传播延时的表达式(一阶分析),说明减小一个门的传播延时的方法。

39、讨论晶体管尺寸与能耗之间的关系。

40、

41、对于由N个反相器组成的具有固定输入和输出电容的反相器链,为使通过反相器链的延时最小,如何确定反向器链的尺寸及级数。

42、

43、CMOS电路的功耗与哪些因素有关,如何降低电路的功耗?

44、

45、如何降低大扇入电路的延时?

46、

47、逻辑门的动态功耗可以通过减小它的实际电容和开关活动性来降低,降低开关活动性的设计技术有哪些?

48、

49、动态逻辑门有哪些特性?

50、时序逻辑电路(锁存器和寄存器)有静态和动态两类,试对这两类电路进行比较。

51、流水线是优化时序电路的一种重要方法,NORA-CMOS逻辑形式的流水线结构有哪些特性。

52、一般数字信号处理器由哪些模块构成,对各模块进行简要说明。

53、说明模拟和验证的区别。

54、

55、什么是Setup 和Holdup时间?setup和holdup时间,区别

56、解释setup time和hold time的定义和在时钟信号延迟时的变化。

57、解释setup 和hold time violation ,画图说明,并说明解决办法。

58、如何解决亚稳态。亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

59、时钟周期为T ,触发器D1的建立时间最大为T1max ,最小为T1min 。组合逻辑电路最大延迟为T2max ,最小为T2min 。问触发器D2的建立时间T3和保持时间应满足什么条件。

60、给出某个一般时序电路的图,有Tsetup, Tdelay, Tck->q,还有clock 的delay, 写出决定最大时钟的因素,同时给出表达式。

61、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。

62、

63、CMOS 单元负载较大的电容时,只有提高W ,这样会使W*L 增加,相对前级又时一个大电容,如何解决这一矛盾?

64、

65、在设计数字处理器IC 时可采用哪些方法或技术以降低数据通路部分的功耗。

66、

67、为什么数字处理器IC 中数据通路常常组织成位片式结构?

68、数字处理器IC 中加法器对计算结构的性能有重要的影响,为提高多位二进制加法器的运算速度,可以采用哪些方法或技术?

69、

70、如图,已知时序参数:寄存器最小延时(tc-q,cd )和最大传播延时(tc-q ),寄存器的建立时间(tsetup )和保持时间(thold ),组合逻辑的最小延

时(tlogic,cd )和最大延时(tlogic ),时钟CLK1

和CLK2上升沿相对于全局参考时钟的位置tclk1

和tclk2。时钟偏差(δ)和时钟抖动(tjitter )。求:

不考虑时钟偏差和时钟抖动时满足寄存器建立时

间和保持时间要求的时序约束表达式,以及考虑时钟偏差和时钟抖动时满足寄存器建立时间和保持时间要求的时序约束表达式。

71、时钟偏差和时钟抖动是如何产生的,哪些因素可以造成时钟偏差,哪些可造成时钟抖动。减少时钟偏差和抖动的设计技术有哪些?

c -q t c -q,c

d t su, t hold logic

t logic,cd

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

专升本CMOS模拟集成电路分析与设计试卷答案

专升本CMOS模拟集成电路分析与设计试卷答案

专升本《CMOS模拟集成电路分析与设计》 一、(共75题,共150分) 1. Gordon Moore在1965年预言:每个芯片上晶体管的数目将每()个月翻一番(2分) A.12 B.18 C.20 D.24 .标准答案:B 2. MOS 管的小信号输出电阻是由MOS管的()效应产生的。(2分) A.体 B.衬偏 C.沟长调制 D.亚阈值导通 .标准答案:C 3. 在CMOS模拟集成电路设计中,我们一般让MOS管工作在()区。(2分) A.亚阈值区 B.深三极管区 C.三极管区 D.饱和区 .标准答案:D 4. MOS管一旦出现()现象,此时的MOS管将进入饱和区。(2分) A.夹断 B.反型 C.导电 D.耗尽 .标准答案:A 5. ()表征了MOS器件的灵敏度。(2分) A. B. C. D. .标准答案:C 6. Cascode放大器中两个相同的NMOS管具有不相同的()。(2分) A. B. C. D. .标准答案:B 7. 基本差分对电路中对共模增益影响最显著的因素是()。(2分) A.尾电流源的小信号输出阻抗为有限值 B.负载不匹配 C.输入MOS不匹配 D.电路制造中的误差 .标准答案:C 8. 下列电路不能能使用半边电路法计算差模增益()。(2分) A.二极管负载差分放大器 B.电流源负载差分放大器 C.有源电流镜差分放大器 D.Cascode负载Casocde差分放大器 .标准答案:C 9. 镜像电流源一般要求相同的()。(2分) A.制造工艺 B.器件宽长比 C.器件宽度W D.器件长度L .标准答案:D 10. 某一恒流源电流镜如图所示。忽略M3的体效应。要使和严格相等,应 取为()。(2分) A. B. C. D. .标准答案:A 11. 选择题:下列结构中密勒效应最大的是()。(2分) A.共源级放大器 B.源级跟随器 C.共栅级放大器 D.共源共栅级放大器 .标准答案:A

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

(完整版)集成电路工艺原理期末试题

电子科技大学成都学院二零一零至二零一一学年第二学期 集成电路工艺原理课程考试题A卷(120分钟)一张A4纸开卷教师:邓小川 一二三四五六七八九十总分评卷教师 1、名词解释:(7分) 答:Moore law:芯片上所集成的晶体管的数目,每隔18个月翻一番。 特征尺寸:集成电路中半导体器件能够加工的最小尺寸。 Fabless:IC 设计公司,只设计不生产。 SOI:绝缘体上硅。 RTA:快速热退火。 微电子:微型电子电路。 IDM:集成器件制造商。 Chipless:既不生产也不设计芯片,设计IP内核,授权给半导体公司使用。 LOCOS:局部氧化工艺。 STI:浅槽隔离工艺。 2、现在国际上批量生产IC所用的最小线宽大致是多少,是何家企业生产?请 举出三个以上在这种工艺中所采用的新技术(与亚微米工艺相比)?(7分) 答:国际上批量生产IC所用的最小线宽是Intel公司的32nm。 在这种工艺中所采用的新技术有:铜互联;Low-K材料;金属栅;High-K材料;应变硅技术。 3、集成电路制造工艺中,主要有哪两种隔离工艺?目前的主流深亚微米隔离工 艺是哪种器件隔离工艺,为什么?(7分) 答:集成电路制造工艺中,主要有局部氧化工艺-LOCOS;浅槽隔离技术-STI两种隔离工艺。 主流深亚微米隔离工艺是:STI。STI与LOCOS工艺相比,具有以下优点:更有效的器件隔离;显著减小器件表面积;超强的闩锁保护能力;对沟道无 侵蚀;与CMP兼容。 4、在集成电路制造工艺中,轻掺杂漏(LDD)注入工艺是如何减少结和沟道区间的电场,从而防止热载流子的产生?(7分) 答:如果没有LDD形成,在晶体管正常工作时会在结和沟道区之间形成高

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

2017年数字IC类笔试面试试题

2017年数字IC类笔试面试试题 威盛logic design engineer考题 1。一个二路选 择器,构成一个4路选择器,满足真值表要求、 2。已知A,B,C三个信号的波形,构造一个逻辑结构,使得从AB可以得到C,并且说明如何避免毛刺 3。一段英文对信号波形的描述,理解后画出波形,并采用verilog 实现。 4。169.6875转化成2进制和16进制 5。阐述中断的概念,有多少种中断,为什么要有中断,举例 6。这道比较搞,iq题,5名车手开5种颜色的车跑出了5个耗油量(milespergallon),然后就说什么颜色的车比什么车手的耗油量多什么的,判断人,车,好油量的排序ft致死,看了一堆FSM和数字电路没啥用,结果基本的冬冬把自己搞死了。 不过mixedsignal里的数字部分到是很全的考察了数字的冬冬(转)几道威盛电子的FPGA工程师试题 7、解释setup和hold time violation,画图说明,并说明解决办法. 17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock 的delay,写出决定最大时钟的因素,同时给出表达式. 18、说说静态、动态时序模拟的优缺点. 19、一个四级的Mux,其中第二级信号为关键信号如何改善timing 22、卡诺图写出逻辑表达使. 23、化简F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)的和 28Please draw the transistor level schematic of a cmos2input AND gate andexplain which input has faster response for output rising edge.(less del aytime). 30、画出CMOS的图,画出tow-to-one mux gate. 45、用逻辑们画出D触发器46、画出DFF的结构图,用verilog实现之. 68、一个状态机的题目用verilog实现73、画出可以检测10010串的状态图,并verilog实现之. 80、 Please draw schematic of a common SRAM cell with6transistors,point o utwhich nodes can store data and which node is word line control?(威盛笔试circuit design)(转) VIA数字IC笔试试题 1。解释setup和hold time violation,画图说明,并说明解决办法。

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

模拟电子技术基础试题汇总附有答案.

模拟电子技术基础试题汇总 1.选择题 1.当温度升高时,二极管反向饱和电流将 ( A )。 A 增大 B 减小 C 不变 D 等于零 2. 某三极管各电极对地电位如图所示,由此可判断该三极管( D ) A. 处于放大区域 B. 处于饱和区域 C. 处于截止区域 D. 已损坏 3. 某放大电路图所示.设V CC>>V BE, L CEO≈0,则在静态时该三极管 处于( B ) A.放大区 B.饱和区 C.截止区 D.区域不定 4. 半导体二极管的重要特性之一是( B )。 ( A)温度稳定性 ( B)单向导电性 ( C)放大作用 ( D)滤波特性 5. 在由NPN型BJT组成的单管共发射极放大电路中,如静态工 作点过高,容易产生

( B )失真。 ( A)截止失真( B)饱和v失真( C)双向失真( D)线性失真 6.电路如图所示,二极管导通电压U D=0.7V,关于输出电压的说法正确的是( B )。 A:u I1=3V,u I2=0.3V时输出电压为3.7V。 B:u I1=3V,u I2=0.3V时输出电压为1V。 C:u I1=3V,u I2=3V时输出电压为5V。 D:只有当u I1=0.3V,u I2=0.3V时输出电压为才为1V。 7.图中所示为某基本共射放大电路的输出特性曲线,静态工作点由Q2点移动到Q3点可 能的原因是 。 A:集电极电源+V CC电压变高B:集电极负载电阻R C变高 C:基极电源+V BB电压变高D:基极回路电阻 R b变高。

8. 直流负反馈是指( C ) A. 存在于RC耦合电路中的负反馈 B. 放大直流信号时才有的负反馈 C. 直流通路中的负反馈 D. 只存在于直接耦合电路中的负反馈 9. 负反馈所能抑制的干扰和噪声是( B ) A 输入信号所包含的干扰和噪声 B. 反馈环内的干扰和噪声 C. 反馈环外的干扰和噪声 D. 输出信号中的干扰和噪声 10. 在图所示电路中,A为理想运放,则电路的输出电压约为( A ) A. -2.5V B. -5V C. -6.5V D. -7.5V 11. 在图所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输 入电压△υid为( B ) A. 10mV B. 20mV C. 70mV D. 140mV 12. 为了使高内阻信号源与低阻负载能很好地配合,可以在信 号源与低阻负载间接入 ( C )。 A. 共射电路 B. 共基电路

集成电路设计练习题

集成电路设计练习题2009 1、说明一个半导体集成电路成本的组成。 2、简述CMOS工艺流程。简述CMOS集成电路制造的过程中需要重复进行的工艺步骤。 3、描述你对集成电路工艺的认识。列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?简述CMOS工艺技术的发展趋势。 4、你知道的集成电路设计的表达方式有哪几种? 5、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx 其中,x为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v 假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。 6、请谈谈对一个系统设计的总体思路。针对这个思路,你觉得应该具备哪些方面的知识? 7、描述你对集成电路设计流程的认识。 8、集成电路前端设计流程,后端设计流程,相关的工具。 9、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 10、简述FPGA等可编程逻辑器件设计流程。 11、简述半定制数字电路的设计流程。 12、简要说明并比较数字集成电路几种不同的实现方法。 13、什么是集成电路的设计规则。 14、同步电路和异步电路的区别是什么? 15、画出CMOS电路的晶体管级电路图,实现Y=AB+C(D+E) 16、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管还是N 管,为什么? 17、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求? 18、名词解释:VLSI, CMOS, EDA, VHDL, DRC, LVS, DFT, STA 19、画出CMOS与非门的电路,并画出波形图简述其功能。

模拟cmos集成电路设计实验

模拟cmos集成电路设计实验 实验要求: 设计一个单级放大器和一个两级运算放大器。单级放大器设计在课堂检查,两级运算放大器设计需要于学期结束前,提交一份实验报告。实验报告包括以下几部分内容: 1、电路结构分析及公式推导 (例如如何根据指标确定端口电压及宽长比) 2、电路设计步骤 3、仿真测试图 (需包含瞬态、直流和交流仿真图) 4、给出每个MOS管的宽长比 (做成表格形式,并在旁边附上电路图,与电路图一一对应) 5、实验心得和小结 单级放大器设计指标 两级放大器设计指标

实验操作步骤: a.安装Xmanager b.打开Xmanager中的Xstart

c.在Xstart中输入服务器地址、账号和密码 Host:202.38.81.119 Protocol: SSH Username/password: 学号(大写)/ 学号@567& (大写)Command : Linux type 2 然后点击run运行。会弹出xterm窗口。 修改密码

输入passwd,先输入当前密码,然后再输入两遍新密码。 注意密码不会显示出来。 d.设置服务器节点 用浏览器登陆http://202.38.81.119/ganglia/,查看机器负载情况,尽量选择负载轻的机器登陆,(注:mgt和rack01不要选取) 选择节点,在xterm中输入 ssh –X c01n?? (X为大写,??为节点名) 如选择13号节点,则输入ssh –X c01n13 e.文件夹管理 通常在主目录中,不同工艺库建立相应的文件夹,便于管理。本实验采用SMIC40nm工艺,所以在主目录新建SMIC40文件夹。 在xterm中,输入mkdir SMIC40 然后进入新建的SMIC40文件夹, 在xterm中,输入cd SMIC40.

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

《集成电路设计原理》试卷及答案课件

电科《集成电路原理》期末考试试卷 一、填空题 1.(1分) 年,第一次观测到了具有放大作用的晶体管。 2 . ( 2 分 ) 摩 尔 定 律 是 指 。 3. 集 成 电 路 按 工 作 原 理 来 分 可 分 为 、 、 。 4.(4分)光刻的工艺过程有底膜处理、涂胶、前烘、 、 、 、 和去胶。 5.(4分)MOSFET 可以分为 增强型NMOS ,耗尽型NMOS ,增强型PMOS ,耗尽型PMOS___四种基本类型。 6.(3分)影响MOSFET 阈值电压的因素有: 、 以及 。 7.(2分)在CMOS 反相器中,V in ,V out 分别作为PMOS 和NMOS 的 栅极, 和 漏极 ; VDD , 作为PMOS 的源极和体端, ,GND 作为NMOS 的源极和体端。 8.(2分)CMOS 逻辑电路的功耗可以分为 和 。 9.(3分)下图的传输门阵列中5DD V V =,各管的阈值电压1T V V =,电路中各节点的初始电压为0,如果不考虑衬偏效应,则各输出节点的输出电压Y 1= 4 V ,Y 2= 3 V ,Y 3= 3 V 。 DD 1 3 2 10.(6分)写出下列电路输出信号的逻辑表达式:Y 1= ;Y 2= ;Y 3= 。 A B Y 1 A B 2 3 二、画图题:(共12分)

1.(6分)画出由静态CMOS电路实现逻辑关系Y ABD CD =+的电路图,要求使用的MOS管最少。 2.(6分)用动态电路级联实现逻辑功能Y ABC =,画出其相应的电路图。 三、简答题:(每小题5分,共20分) 1.简单说明n阱CMOS的制作工艺流程,n阱的作用是什么? 2.场区氧化的作用是什么,采用LOCOS工艺有什么缺点,更好的隔离方法是什么? 3.简述静态CMOS电路的优点。

集成电路设计方案习题答案章

集成电路设计方案习题答案章

CH1 1.按规模划分,集成电路的发展已经经历了哪几代?它的发展遵循了一条业界著名的定律,请说出是什么定律? 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE 定律 2.什么是无生产线集成电路设计?列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计 3.多工程晶圆

的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点? SOI绝缘体上硅,能够经过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点? 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子能够容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 CH31.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式?作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点? X 射线

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

硅工艺-《集成电路制造技术》课程-试题

晶圆制备 1.用来做芯片的高纯硅被称为(半导体级硅),英文简称(GSG ),有时也被称为(电子级硅)。 2.单晶硅生长常用(CZ法)和(区熔法)两种生长方式,生长后的单晶硅被称为(硅锭)。 3.晶圆的英文是(wafer ),其常用的材料是(硅)和(锗)。 4.晶圆制备的九个工艺步骤分别是整型、定向、标识。 5.从半导体制造来讲,晶圆中用的最广的晶体平面的密勒符号是(100 )、(110 )和(111)。 6.CZ直拉法生长单晶硅是把(融化了的半导体级硅液体)变为(有确定晶向的)并且(被掺杂成p型或n型)的固体硅锭。 7.CZ直拉法的目的是(实现均匀掺杂的同时,并且复制仔晶的结构,得到合适的硅锭直径)。影响CZ直拉法的两个主要参数是(拉伸速率)和(晶体旋转速率)。 8.晶圆制备中的整型处理包括(去掉两端)、(径向研磨)和(硅片定位边和定位槽)。 9.制备半导体级硅的过程:1(制备工业硅);2(生长硅单晶);3(提纯)。 10.晶片需要经过切片、磨片、抛光后,得到所需晶圆。 氧化 10.二氧化硅按结构可分为()和()或()。 11.热氧化工艺的基本设备有三种:(卧式炉)、(立式炉)和(快速热处理炉)。 12.根据氧化剂的不同,热氧化可分为(干氧氧化)、(湿氧氧化)和(水汽氧化)。 13.用于热氧化工艺的立式炉的主要控制系统分为五部分:(工艺腔)、(硅片传输系统)、气体分配系统、尾气系统和(温控系统)。 14.选择性氧化常见的有(局部氧化)和(浅槽隔离),其英语缩略语分别为LOCOS和(STI )。 15.列出热氧化物在硅片制造的4种用途:(掺杂阻挡)、(表面钝化)、场氧化层和(金属层间介质)。 16.可在高温设备中进行的五种工艺分别是(氧化)、(扩散)、(蒸发)、退火和合金。 17.硅片上的氧化物主要通过(热生长)和(淀积)的方法产生,由于硅片表面非常平整,使得产生的氧化物主要为层状结构,所以又称为(薄膜)。 18.卧式炉的工艺腔或炉管是对硅片加热的场所,它由平卧的(石英工艺腔)、(加热器)和(石英舟)组成。淀积 19.目前常用的CVD系统有:(APCVD )、(LPCVD )和(PECVD )。 20.淀积膜的过程有三个不同的阶段。第一步是(晶核形成),第二步是(聚焦成束),第三步是(汇聚成膜)。21.缩略语PECVD、LPCVD、HDPCVD和APCVD的中文名称分别是(等离子体增强化学气相淀积)、(低压化学气相淀积)、高密度等离子体化学气相淀积、和(常压化学气相淀积)。 22.在外延工艺中,如果膜和衬底材料(相同),例如硅衬底上长硅膜,这样的膜生长称为(同质外延);反之,膜和衬底材料不一致的情况,例如硅衬底上长氧化铝,则称为(异质外延)。 23.化学气相淀积是通过()的化学反应在硅片表面淀积一层()的工艺。硅片表面及其邻近的区域被()来向反应系统提供附加的能量。 金属化 24.金属按其在集成电路工艺中所起的作用,可划分为三大类:()、()和()。 25.气体直流辉光放电分为四个区,分别是:无光放电区、汤生放电区、辉光放电区和电弧放电区。其中辉光放电区包括前期辉光放电区、()和(),则溅射区域选择在()。 26.集成电路工艺中利用溅射现象主要用来(),还可以用来()。 27.对芯片互连的金属和金属合金来说,它所必备一些要求是:(导电率)、高黏附性、(淀积)、(平坦化)、可靠性、抗腐蚀性、应力等。 28.在半导体制造业中,最早的互连金属是(铝),在硅片制造业中最普通的互连金属是(铜),。 29.写出三种半导体制造业的金属和合金(Al )、(Cu )和(铝铜合金)。 30.阻挡层金属是一类具有(高熔点)的难熔金属,金属铝和铜的阻挡层金属分别是(W )和(W )。 31.被用于传统和双大马士革金属化的不同金属淀积系统是:()、()、()和铜电镀。 32.溅射主要是一个()过程,而非化学过程。在溅射过程中,()撞击具有高纯度的靶材料固体平板,按物理过程撞击出原子。这些被撞击出的原子穿过(),最后淀积在硅片上。 平坦化 33.缩略语PSG、BPSG的中文名称分别是()、()。 34.列举硅片制造中用到CMP的几个例子:()、LI氧化硅抛光、()、()、钨塞抛光和双大马士革铜抛光。 35.终点检测是指(CMP设备)的一种检测到平坦化工艺把材料磨到一个正确厚度的能力。两种最常用的原位终点检测技术是(电机电流终点检测)和(光学终点检测)。 36.硅片平坦化的四种类型分别是(平滑)、部分平坦化、(局部平坦化)和(全局平坦化)。 37.传统的平坦化技术有()、()和()。

相关主题
文本预览
相关文档 最新文档