当前位置:文档之家› 24秒倒计时

24秒倒计时

24秒倒计时
24秒倒计时

数字逻辑开放性试验报告题目名称:篮球竞赛24秒倒计时器

姓名:杨带稳、吴土华、钟水安

专业:应用电子技术

班级: 09应电2班

指导老师: 王志辉老师

二零一零年十一月

目录

第1章设计任务及要求 (1)

第2章电路设计原理与设计电路 (2)

2.1设计原理 (2)

2.2设计方案 (3)

2.3单元模块 (3)

2.3.1 时钟模块 (3)

2.3.2 8421BCD码递减计数器模块 (7)

2.3.3 辅助时序控制模块 (8)

2.3.4 译码显示模块 (9)

2.4 电路的改进 (13)

第3章电路仿真、安装与调试案 (15)

3.1 电路仿真 (15)

3.2 电路焊接......................................... 错误!未定义书签。

3.3电路安装 (15)

3.4 电路调试........................................ 错误!未定义书签。第四章总结 .. (18)

参考文献 ................................................ 错误!未定义书签。附录一: . (19)

附录二: (20)

第1章设计任务及要求

篮球赛计时器

一、任务

设计、制作一个篮球竞赛24秒计时器。可以直接清零、启动、暂停和连续以及具有声光报警功能,同时应用七段数码管来显示时间。当计时器递减到零时,会发出声光报警信号。原理框图如下:

二、要求

1.基本要求

(1)具有显示24秒的倒计时功能,计时间隔为1秒。

(2)系统设置外部操作开关,控制计数器的清零、启动。

2.发挥部分

(1)计时器具有暂停/继续功能。

(2)计时到零时数码显示器不能灭灯,同时发出声光报警信号。

三、说明

1.电源可用成品,必须自备,亦可自制。

2.设计报告正文中应包括系统总体框图、核心电路原理图、主要流程图、主要的测试结果。完整的电路原理图、和完整的测试结果用附件给出。

3.提示:七段字形译码器:74LS194

计数器:CC40161或74LS192

计时脉冲提供:555定时器

第2章电路设计原理与设计电路

2.1设计原理

分析设计任务,该系统包括秒脉冲发生器、计数器、译码显示电路、扩展控制报警电路4个部分构成。其中,计数器和信号发生器电路是系统的主要部分。计数器完成24秒倒计时功能,而信号发生器是保证计数器能正常计数的前提,还有扩展控制报警电路的暂停/连续功能、译码显示电路的显示与灭灯及光电报警等功能。为满足设计要求,设计扩展控制报警电路及控制开关时,应该正确处理各个信号之间的时序关系。在操作直接清除时,要求计数器至数24。电源一接通的时候,先闭合开关J3使整个电路得电,当开关J3打开时,电路失电,停止一切工作。当电源一接通的时候,计数器先至数24,当闭合J2时,计数器开始正常倒计时直接到零后停止。如果在中途断开J2,折电路有至回24,直到再次闭合J2时计数器才会正常工作,而开关J1是实现暂停与继续功能。当电路到最后10秒(即从09开始)蜂鸣器每0.5秒间断的响,等时间为00时就连续响。电路的基本木块如下:

图2.1 24s计时器的方框图。

2.2设计方案

用555时基电路构成的多谐振荡器来产生频率为10Hz的脉冲,即输出周期为0.1秒的方波,再将该脉冲信号加到由74LS90芯片分频后即周期为1秒,接着将该信号送到计数器74LS192的CP减计数脉冲端,再通过译码器74LS247把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清除,启动和暂停/继续、译码显示电路的显示与灭灯及光电报警等功能,光电报警用发光二极管来代替灯亮,蜂鸣器响代表报警。这是就是我们设计的方案(如下):

图2.2 篮球24秒倒计时计时器电路图

2.3单元模块

2.3.1 时钟模块

本设计采用555构成的多谐振荡电路(即脉冲产生电路),其内部管脚图如下图3.通过计算可以确定参数的取值:R3=51KΩ,R4=47KΩ,C1=1.0uF,C2=10nF.因此产生的脉冲周期为:T=0.7(R1+2R2)C2=0.1s,之后经过74LS90进行十分频,使得74LS161输出的

脉冲周期为1s.

图2.3 脉冲产生电路

芯片NE555

NE555是时基集成电路,它在应用和工作方式上一般可归纳为3类。每类工作方式又有很多个不同的电路。在实际应用中,除了单一品种的电路外,还可组合出很多不同电路,如:多个单稳、多个双稳、单稳和无稳,双稳和无稳的组合等。下图是NE555的内部功能原理框图和内部管脚图。

图2.3 NE555内部功能图

图2.4 NE555内部管脚图

芯片74LS90

74LS90是常用的四位二-五进制可预置的同步加法计数器,它可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能.

通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功能详述如下:

(1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。

(2)计数脉冲从CP2输入,Q D Q C Q B作为输出端,为异步五进制加法计数器。

(3)若将CP2和Q A相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为输出端,

则构成异步8421码十进制加法计数器。

(4)若将CP1与Q D相连,计数脉冲由CP2输入,Q A、Q D、Q C、Q B作为输出端,

则构成异步5421码十进制加法计数器。

(5)清零、置9功能。

a)异步清零

当R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即Q D Q C Q B Q A=0000。

b)置9功能

当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即Q D Q C Q B Q A=1001。

而我们这里利用的就是它的十进制加法器来进行十分频,从而使的NE555产生的0.1s的信号放大成1s的信号。所以用到的接法就是上面所说的第三种方法了。

2.3.2 递减计数器模块

74LS192是一块同步8421BCD码加/减计数器,具有直接清除(至数)、加锁计数功能(当计数器到达00s是自动锁在00s里)。计数器选用汇总规模集成电路74LS192进行设计

比较简便。74LS192是双时钟方式的十进制可逆计数器,CP

U 为加计数时钟输入端,CP

D

为减计数时钟输入端,LD为预置输入控制端,异步预置,CR为复位输入端,高电平有效,异步清除,CO为进位输出:1001状态后负脉冲输出,BO为借位输出:0000状态后负脉冲输出。

图2.7计数模块

计数电路由两片74LS192来构成。由于是做24s倒计时电路,所以计数CP脉冲应从CP

D

端(即DOWN端)输入,并且计数器的预置数(BCD码)应为00100100,其中

上片74LS192置为0010,下片74LS192置为0100,即为十进制的24.它的计数原理是:只有当低位BO端发出借位脉冲时,高位计数器才作递减计数。当高、低位计数器处于

全零,且CP

D 为0时,置数端LD为0,计数器完成并行置数,在CP

D

端的输入时钟脉冲

作用下,计数器再次进入下一次循环减计数。

芯片74LS192

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能.

74LS192是双时钟方式的十进制可逆计数器。

CP

U 为加计数时钟输入端,CP

D

为减计数时钟输入端。

LD为预置输入控制端,异步预置。

CR为复位输入端,高电平有效,异步清除。

CO为进位输出:1001状态后负脉冲输出

BO为借位输出:0000状态后负脉冲输出。

其功能表如下:

74LS192的功能表2.3.3 辅助时序控制模块

总电路图如图。所示:

整体电路

控制电路要完成以下功能:

1.设置外部操作开关,控制计数器的直接清除(置数24)、启动和暂停/继续功能。

1)开关J3在电路图中起到启动的作用,闭合启动开关J3,计数器完成置数功能,显示器显示24秒字样,计数器开始进行递减计数。

2)开关J1在本设计中起暂停/连续作用,当启动开关闭合后,计数器进行递减计数。若闭合开关J1,则计数暂停,控制电路封锁时钟脉冲信号CP,显示器上保持原来的数不变,当开关J1断开,计数器继续累计计数。

3)开关J2在电路中起到了控制计数器的直接清除,当开关J2闭合与地连接时,计数器直接清除,则数码管显示24字样,并且把74ls192的计数端锁住,同时停止计数,就是说一直置数24,直到再次闭合J2时,计数器才开始工作。

当计数到09时,蜂鸣器会每0.5秒间断的响,等时间为00时就连续响。直到按下复位键J2或者按下电源键J3才会停止。

2.3.4 译码显示模块

该模块由两片74LS247译码器和共阳极七段数码管LED显示器组成,通过计数器的

输出加到译码器的输入,从而实现共阳极七段LED显示器从24递减到零的计数功能。

(1)74LS248是七段显示译码器,电路部分图为图6:

图2.9 译码显示模块

1)A、B、C、D是BCD码的输入端;

2)OA、OB、OC、OD、OE、OF、OG(即a、b、c、d、e、f、g)是输出端;

3)74LS47是BCD-7段数码管译码器/驱动器,74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码,可以直接把数字转换为数码管的显示数字,从而简化了程序,节约了单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑,此类芯片已较少用,大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

<74ls47引脚图,74ls47管脚功能> 74LS47译码器原理:

译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2列出了74LS47的真值表,表示出了它与数码管之间的关系。

表1<74LS47功能表>

输入输出显示数字符号

LT(——) RBI(——-) A3 A2 A1 A0 BI(—)/RBO(———)

a(—) b(—) c(—) d(—) e(—) f(—) g(—)

1 1 0 0 0 0 1 0 0 0 0 0 0 1 0

1 X 0 0 0 1 1 1 0 0 1 1 1 1 1

1 X 0 0 1 0 1 0 0 1 0 0 1 0 2

1 X 0 0 1 1 1 0 0 0 0 1 1 0 3

1 X 0 1 0 0 1 1 0 0 1 1 0 0 4

1 X 0 1 0 1 1 0 1 0 0 1 0 0 5

1 X 0 1 1 0 1 1 1 0 0 0 0 0 6

1 X 0 1 1 1 1 0 0 0 1 1 1 1 7

1 X 1 0 0 0 1 0 0 0 0 0 0 0 8

1 X 1 0 0 1 1 0 0 0 1 1 0 0 9

X X X X X X 0 1 1 1 1 1 1 1 熄灭

1 0 0 0 0 0 0 1 1 1 1 1 1 1 熄灭

0 X X X X X 1 0 0 0 0 0 0 0 8

(1)LT(——):试灯输入,是为了检查数码管各段是否能正常发光而设置的。当LT(——)=0时,无论输入A3 ,A2 ,A1 ,A0为何种状态,译码器输出均为低电平,若驱动的数码管正常,是显示8。

(2)BI(—):灭灯输入,是为控制多位数码显示的灭灯所设置的。BI(—)=0时。不论LT(——)和输入A3 ,A2 ,A1,A0为何种状态,译码器输出均

为高电平,使共阳极7段数码管熄灭。

(3)RBI(——-):灭零输入,它是为使不希望显示的0熄灭而设定的。当对每一位A3= A2 =A1 =A0=0时,本应显示0,但是在RBI(——-)=0作用下,使译码器输出全1。其结果和加入灭灯信号的结果一样,将0熄灭。

(4)RBO(———):灭零输出,它和灭灯输入BI(—)共用一端,两者配合使用,可以实现多位数码显示的灭零控制。

2.4 电路的改进

为了使电路启动时不出现乱码及不稳定现象,在此对辅助控制部分做了如下改进,如图7所示。

操作“清零”开关时,计数器清零。闭合“启动”开关时,计数器完成置数,显示器显示30断开“启动”开关,计数器开始进行递减计数。电路图中,当开关J1合上时,LD=1,74LS192进行置数;当J1断开时,LD=0,74LS192处于计数工作状态。开关J2是时钟脉冲信号CP的控制电路。当定时时间未到时,74LS192的借位输出信号BO2=0,则CP信号受“暂停/连续”开关J2的控制。

图2.11 辅助控制部分改进电路图

(1.74A04B的管脚2接74LS192的4脚 2.74LS10的1脚接74LS192的13脚)

第3章电路仿真、安装与调试案

3.1 电路仿真

切身的感受与体会~~!!:电路采用Multisim10.1软件进行仿真,仿真前须明白:在仿真软件上能仿真出结果的设计电路并不代表在实际电路中能得到同样的结果;仿真不出结果的电路也并不代表在实际电路中得不出结果。仿真只是给我们提供一个环境去验证一下自己的设计电路。

仿真的基本步骤:

1.用虚拟器件在工作区建立电路;

2.选定元件的模式、参数值及标号;

3.选择分析功能和参数;

4.激活电路进行仿真。

仿真前仔细检查电路是否连接好了,芯片的管脚是否接错。确认后即可仿真。

3.2电路安装与调试:

1.我们采用的是模块型安装与调试,即把要焊接的电路分成三个部分,信号发生部分、计数部分和控制部分,把三部分进行分开焊接,分开调试,都成功了之后就再合并在一起。而我们在调试NE555信号发生电路的时候,用到的我们已经学到的单片机知识来进行调试,并且是有理论性的把时间调试得相当的准确,而我们用到的程序是:

#include

unsigned int num=0;

void main()

{

EA=1;

EX0=1;

IT0=1;

while(1)

{

;

}

}

void int_0() interrupt 0

{

num++;

if(num==24)

{

P1=0x00;

EX0=0;

}

}

这个程序的原理是:当我们在P3^2端口输入24次秒脉冲时,中断就会发生,则此时P1口的端口全部为零,接在P1口上的LED灯都亮起来。同时我们拿手机出来进行计时,观察与24秒相差的时间,结果我们发现误差控制在1S之内。

2.接下来我们调试的时计数和控制部分:

这一个环节是我们浪费是时间最多的一个,首先焊接好的是计数部分。然后直接用单片机来进行测试,方法是在单片机的P1口输出1秒得脉冲作为计数器的信号输入。事情总部会一次就成功的,当我们满怀期待地按下单片机的开关按钮时,却发现数码管上显示的只有00,经过我们耐心的检查,我们终于发现一个很大的问题,就是仿真与实际是有偏差的,在实际中,我们悬空的管脚是默认为1的,因为我们当时的清零端是悬空的,所以数码管是一直显示为00。经过改进之后,电路可以正常计数了。

接下来的就是控制和报警电路了,我们感觉这一块才是我们这块电路的核心所在,它可以控制置位24,暂停与开始……而这一部分,我们做得最不顺利的就是我们额外加的一个扩展了,就是当计数到达09秒得时候,我们的蜂鸣器会0.5秒间断的响,在这一步的时候,我们还神奇的发现了一个我们现在还解释不了的问题:就是我们再用9014当开关管的时候,在9014的基极上无论接的是高电平还是低电平,那管一直是导通的……既然我们想不明白,而时间又紧迫,所以我们用了另外一种方法来实现我们得扩展,那就是上面2.4所说的改进电路了。

终于,皇天不负有心人,经过了几乎两天时间的焊接与调试,我们终于把电路焊接好了,并且和我们预算的一样。本来是打算放点心思在项目的外形上的,可是听说老实这一次要看的事我们得焊功,所以呢我们就不打算吧电路用木材弄成一个盒子状的,那是为了方便老师检查我们的电路了。所以最后就稍微整理一下外形,接下来就是完成报告后,等待着交作品了……

第四章总结

篮球竞赛24S计时器的设计主要分为四个模块:时钟模块(即秒脉冲发生模块)、计数模块、译码显示模块、辅助控制及报警电路,其中计数模块中的两片计数器的加/减法计数器脉冲输入端都要接高电平,且要将低位片的借位信号加到高位片的减计数脉冲输入端。高位片计数器的借位信号控制报警信号,在进行减计数时,借位信号一直为高,此过程报警信号不工作,当计数器减计数到零时,借位信号变为低,发光二极管导通,这样就产生了光电报警信号,在将借位信号和暂停/连续控制信号和时序脉冲信号加到一起作用低位计数器减计数脉冲输入端,即实现计数器递减计数到零时,显示器不灭灯,同时发出光电报警信号。

我对这次的实践有如下几条结论:

第一,做事要胆大心细,不要怕烫到手,更不能粗心大意,否则很可能要重来。好久没有拿电烙铁会有一种莫名的恐惧感。面对困难,要冷静大胆,不能慌。焊接电路最忌讳的就是粗心大意。有时候结果出不来很可能就是焊接某个地方时想别的事去了,这也是很多高年级学长常犯的错,这里我们一定要吸取教训。

第二,遇到困难一定要冷静思考其中的缘由,不能动不动就叫老师检查,这样根本就提高不了自身的水平。比如说,数码管倒计时至0时,报警灯不亮。首先的话要检查电路有没有虚焊,这是必须的。其次,假如没有虚焊,电路的线路也没有接错,那很可能要换一个发光二极管了。

第三,做事前一定要做好充分准备,机会往往留给有准备的人。要焊接电路最原始的准备应该是做好仿真,并且打印好。一些芯片的管脚也是必须要弄清楚的。这次我们做的不够好,因为老师要我们暑假查好资料,但确实因为自己的懒惰,一些资料没有查到位,这是我要深刻检讨的地方。

24秒倒计时牌

1 设计任务描述 1.1设计题目:24秒倒计时牌 1.2设计要求 1.2.1 设计目的 (1)掌握24秒倒计时牌的组装方法与技巧; (2)掌握元器件的实现功能与使用; (3)能够通过对元器件组装来实现各种功能的电路;1.2.2 基本要求 (1)可手动暂停,还原; (2)到0时有长报警,到倒数5秒时每秒有一声短报警; (3)可显示计数,每过十秒有一次闪烁; 1.2.3 发挥部分 (1)24秒可调;

2 设计思路 24秒倒计时器牌的核心部分是倒计时部分,我选择了两个74HC290连级来实现,因为它有置数端和预置端,可完成可调的倒计时器。本次设计有基本要求:可手动暂停、还原;每十秒显示器闪烁一次;到倒数5秒时每秒有一声短报警,到0秒时是长报警,发挥部分是24秒可调。 首先是要给计时器提供一个秒脉冲发生器,由于555定时器内部的比较器灵敏度非常高,输出驱动电流大,功能灵活,而且采用差分电路形式,用555定时器组成的多谐振荡器的振荡频率手电源电压和温度变化的影响很小,因此采用555定时器来提供发生脉冲。 手动暂停还原中,由于需要暂时锁住显示器显示的数,所以可以在秒脉冲的输出端加一个开关,当要计数时关闭开关进行计数,需要暂停时断开开关终止秒脉冲的输入,即可实现手动暂停还原此时显示器保持原来的数字。 每十秒显示器闪烁一次,若想有闪烁效果需要给需要给显示器提供5~10赫兹的脉冲信号才能有闪烁效果,只要当个位为“0000”时闪烁即为每十秒闪烁一次,通过各种门电路把“0000”的信号与5~10赫兹的脉冲信号共同作用提供给显示器。 到5秒时开始每秒都有一次短报警,到0秒时是长报警,则十位输出是“0000”,5秒时个位的最高位也为零,使个位输出“101”、“100”、“011”、“010”、“001”时有效列真值表,通过门来连接;当为“000”时,为长报警。给短报警一个500赫兹的脉冲信号,给长报警一个1000赫兹的脉冲信号,再通过一个或门来控制输出那个报警信号提供给报警电路。 24秒可调,在74HC192的预置端加入开关来调节想要预置的秒数。

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

24秒倒计时设计总结1(1)

用74LS190设计篮球进攻24秒倒计 数钟 班级:应电0901 姓名:倪军 学号:20098803 指导老师:胥学金 时间:2011年5月30日

电子设计任务书 一、任务 本设计要求用74LS190设计一个篮球计时器,不使用单片机。 二、要求 1、基本要求 (1)显示器2、显示每队24秒进攻时间。要求使用32.768KHz 晶振产生1秒时基。 (2)进球、犯规、罚球、暂停等有停表功能,停表后可以重新计时。 2、发挥部分 (1)进攻放8秒未过半场,5秒未发出球有报警功能。(2)每节结束有声音报警功能。 (3)有加时赛5分钟计时功能,也要求有倒计时。 (4)其它。 三、说明 电源使用外部5V电源,无需自制。

篮球比赛计时器设计 摘要 本设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒倒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 本电路主要有四个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路。控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1。 关键词:计数器24秒倒计译码显示电路控制电路 目录 第一章总体设计思路、基本原理和框图 1、设计思路

3、总体电路说明 第二章单元电路设计 1、秒脉冲发生器的设计 2、秒倒计数器的设计 3、译码器和显示器的设计 第三章安装、调试步骤 第四章性能测试和结果分析 第五章附录(元器件清单) 第一章、总体设计思路、基本原理和框图 一、设计思路 篮球比赛计时器的主要功能包括:进攻方24秒倒计时计时暂停,重新开启和结束警报提示。 攻方24秒倒计时:当比赛准备开始时,屏幕上显示24秒字样,当比赛开始后,倒计时从24逐秒倒数到00。这一模块主要也是利用双向计数器74LS190来实现。 警报提示:当两个计数器中任一个计时到零时,BO端出现低电平。通过和二极管作用,发光二极管亮,起到报警作用。

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

24秒倒计时器系统设计

24秒倒计时器系统设计 一、仿真图 (一)Proteus元器件查找 1、芯片:89C51 2、电阻:res 3、缓冲器74HC244 4、数码管7SEG-------CC共阴极 5、非门74LS04 6、按键button 二、程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; typedef unsigned int uint; uint i,j,f1=0; uint q=4,p=2; uint sum = 0; sbit P2_0=P2^0; sbit P2_1=P2^1; sbit P2_2=P2^2; sbit P2_3=P2^3; uint num2=0,num=24,shi=2,ge=4; void T1_time()interrupt 3 {

TH1=(65536-49000)/256; TL1=(65536-49000)%256; num2++; if(num2==20){ num2=0; if(!f1){ if(num!=0)num--; shi=num/10; ge=num%10; } } } void ex_int()interrupt 0 { num=24; } void ex_int1()interrupt 2 { sum++; if(sum%2==1) f1=1; else f1=0; } void delay() { uint j; for(j=0;j<200;j++); } void disply() { P2&=0XF0; P1=table[shi]; P2_0=1; delay(); P2&=0XF0; P1=table[ge]; P2_1=1;

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

24秒倒计时器的设计和制作(停在00)

24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。 2、设计任务及要求 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当计时器显示00,同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。 图1—方案框图

其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。 2、设计方案 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 3、单元模块 3.1各个元器件功能 3.1.1、555定时器 555 定时器的内部电路框图如图3-1-1所示。 图3-1-1 555内部电路框

秒篮球倒计时数电实验报告

秒篮球倒计时数电实验报告

————————————————————————————————作者:————————————————————————————————日期:

法商学院 《数字电路课程设计》 课程设计报告 专业:应用电子技术 班级:应电11301 姓名:周灵 姓名:李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。 图1-1 24秒计时器系统设计框图 二、单元电路分析

篮球竞赛24秒计时器设计报告

电气工程系电子实验室 课程设计报告 课程名称数字电子技术基础课程设计 设计题目篮球竞赛24秒计时器设计 班号KT623-3 专业自动化(汽车电子工程) 学生姓名学号 4 指导教师(签字) 起止日期 2009年 1月 6日-2009年 1月 9日

前言 (2) 第一章计时器概述 (3) 1.1篮球竞赛24秒计时器功能 (3) 1.2 设计任务及要求 (3) 1.2.1基本要求 (3) 1.2.2 设计任务及目标 (4) 1.2.3 主要参考器件: (4) 第二章电路设计原理与单元模块 (5) 2.1 设计原理 (6) 2.2 设计方案 (7) 2.3 单元模块 (8) 2.3.1 8421BCD码递减计数器模块 (8) 2.3.2 时钟模块 (10) 2.3.3 辅助时序控制模块 (12) 2.3.4 译码显示模块 (12) 第三章安装与测试 (14) 3.1 电路的安装 (15) 3.2 电路的调试 (16) 第四章实验体会 (16) 结论 (17) 致谢 (17) 参考文献 (19) 附录 (20)

数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。

篮球竞赛24秒倒计时器

目录 第一章:设计任务及要求、目标 (2) 第二章:设计原理、电路设计及仿真 (2) 2.1 设计原理及方案 (2) 2.2 单元模块设计及仿真 (3) 2.2.1 秒信号源设计与仿真 (3) 2.2.2 74LS192设计与仿真 (4) 第三章:电路的仿真、安装与调试 (6) 第四章:问题及分析、解决及总结 (7) 附录一: (9) 附录二: (10)

篮球竞赛24秒倒计时器 第一章:设计任务及要求、目标 1.1,设计任务及要求 1)具有准确的24 s倒计时显示功能,计时器为24 s递减计数;2)设置外部操作开关,启动和暂停/连续功能, 3)计时器为24 s递减计时器,计时时间间隔为1s; 4)计时器递减计时至0时,能发出光电警报信号。 1.2,设计任务及目标 (1)根据给出的电路原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成模块的管脚及其功能; (3)进行电路的安装、测试,知道电路能达到设计目标; (4)写出完整、详细的课程设计报告。 第二章:设计原理、电路设计及仿真:2.1,篮球竞赛24 s计时器原理框图如图1所示。 图(1)

图(2) 根据原理图,进行仿真实验,图(2)是我们仿真时的连线图 (1);计数译码显示部分:计数器构成8421BCD码24进制递减计数器,用74LS48配共阴极LED数码管组成译码器显示部分。 (2);秒信号源产生电路:用555定时器和分频电路组成十分频产生秒信号。 (3);时序控制部分:工作时,启动开关闭合控制电路封锁时钟脉冲(CP),与此同时,产生预置信号,使数码管显示24字样。启动开关断开,开 始递减计数。当开关2断开时,此时暂停计数;并处于保持状态;当开 关2闭合时,计数器继续递减计数。当计数到零时,LED警报灯亮,停 止计数。 2.2 单元模块的设计及仿真 在本次课程设计中,我主要负责了秒信号源和24 s计数的仿真和设计及其分析等。下面是我对这2个单元模块的简要设计和仿真原理和方法及其数据。

24秒倒计时器设计(课件设计)

目录 一、计时器概述 (1) 1、计时器的特点及应用 (1) 2、设计任务及要求 (1) 二、电路设计原理及单元模块 (1) 1、设计原理 (1) 2、设计方案 (2) 3、单元模块 (3) 3.1、所用各个芯片功能 (3) 3.2、各单元电路 (7) 四、安装与调试 (11) 1、电路的安装 (11) 2、电路的调试 (12) 五、结论与心得 (12) 六、参考文献 (13) 1、总电路图 (14) 2、元件清单 (14) 3、实物 (15)

24秒倒计时器的设计和制作 一、计时器概述 1、计时器的特点及应用 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计“智能篮球比赛倒计时器的设计”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 2、设计任务及要求 设计一个24秒倒计时器,具体功能要求如下: 1、用小规模集成电路设计24秒倒计时电路; 2、用555定时器产生1Hz的标准脉冲信号; 3、当计时器显示00,同时报警; 4、计时器应具有清零、启动、暂停/继续计时等控制功能。 二、电路设计原理及单元模块 1、设计原理 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、

计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。报警电路在实验中可用发光二极管和鸣蜂器代替。 主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲信号通过一个与门将时钟截断,使计时器在计数到零时停住。 2、设计方案 图1、电路框图 总体电路说明:

单片机课程设计(24秒篮球计数器)

1.引言 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于比赛,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2 设计要求 1、具有24s计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停 /连续功能。 3、计时器为24秒递减时,计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 5、有直接清零然后恢复到24秒,准备重新开始计数。 学生在教师指导下,综合运用所学知识完成基于单片机的篮球比赛24秒计时器设计。要求设计一个24秒计时电路,并具有时间显示的功能。 要求: 1、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续计时。

2、要求计时电路递减计时,每隔1秒钟,计时器减1。 3、当计时器减到0时,显示器上显示00,同时发出光电报警信号。 3设计思路: 选用AT89C51作为主控芯片,晶振是6KHz,机械周期为1ms,所以循环10次为1s。P0口作为段码输出,P2.0、P2.1作为位控,高电平有效。数码管是液晶显示,采用动态显示,两个串行口作为中断入口,高电平有效,启动T0定时器/计数器进行计数,低电平有效。图2.2.1是系统硬件设计电路图一。 时间设置完后,启动定时器T0开始定时计数。计时采用倒计时,比如:设置的时间为24秒钟,则在LED上显示24两位数。定时T0计数24秒后中断返回,继续定时计数下一个24秒;同时则在2位LED显示器上显示,表示时间已经过去1秒钟,即为23秒。这样一直持续下去。知道变为“00”时表示赛程结束。如果比赛中裁判叫停,则只要按下键,即可暂停计时。

篮球24秒倒计时器课程设计报告

数字逻辑电路设计课程设计报告 系(部): 专业: 班级: 姓名: 学号: 成绩: 指导老师: 开课时间:学年学期

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

24秒倒计时设计报告

篮球比赛24秒倒计时论文 电子线路设计 报告 题目: 篮球比赛24秒倒计时 院(系):机械与电子工程学院 班级: 09应用电子技术2班 学生姓名: 时间: I

篮球比赛24秒倒计时论文 摘要 本电路主要有五个模块构成:秒脉冲发生器、计数器、译码器、显示电路、控制电路和报警电路,主要采用555 作为振荡电路, 由74LS192、74LS48、74LS90 和七段共阴LED 数码管构成计时电路, 具有计时器直控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。 关键词:计数器;24秒倒计;译码显示电路;控制电路;光电报警电路 Abstract The circuit has five main modules: pulse generator, counters, display decoder circuit, control circuit and alarm circuit is mainly used as an oscillator circuit 555 by the 74LS192, 74LS48,74LS90and a total of Seven-Segment LED digital tube Yam constitute a time circuit, Direct control circuit has a timer counter to start counting direct control, pause / row count, the display of display circuit decoding functions. When the control circuit to open the closure of the home several time, digital tube display in figure 24, every time 1 seconds pulse signal input to the counter, the digital tube will automatically reduce the number of 1, decreasing to zero when the timer and alarm circuit issued photoelectric alarm signal and the buzz. Key words: counter; 24 seconds counter; decoding display circuit; control circuit; alarm circu II

相关主题
文本预览
相关文档 最新文档