当前位置:文档之家› 模拟电路实验指导

模拟电路实验指导

模拟电路实验指导
模拟电路实验指导

实验一晶体管共射极单管放大器

一、实验目的

1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。

2、掌握放大器电压放大倍数、输入电阻、输入电阻、输出电阻及最大不失真输出电压的测试方法。

3、熟悉常用电子仪器及模拟电路实验设备的使用。

二、实验设备与器件

1、+12V直流电源

2、函数信号发生器

3、双踪示波器 4交流毫伏表

5、直流电压表

6、直流毫安表

7、频率计 8、万用电表

9、晶体三极管3DG6×1(β=50~100)或9011×1(管脚排列如图2-7所示)

电阻器、电容器若干

三、实验内容

实验电路如图2-1所示。各电子仪器可按实验一中图1-1所示方式连接,为防止干扰,各仪器的公共端必须连在一起,同时信号源、交流毫伏表和示波器的引线应用专用电缆线或屏蔽线,如使用民间蔽线,则屏蔽线的外包金属网应接在公共接地端上。

1、调试静态工作点

接通直流电源前,先将R W调至最大,信号发生器输出旋钮旋至零。接通+12V电源、调节R W,使Vc=6.5v,用直流电压表测量U B、U E、U C及用万用电表测量R B2

值。记入表2-1。

2、测量电压放大倍数

在放大器输入端加入频率为1KH Z的正弦信号U S,调节函数信号发生器的输出旋钮使放大器输入电压U1 5mV,同时用示波器观察放大器输出电压U O波形,在波形不失真的条件下用交流毫伏表测量下述六种情况下的U O值,并用双踪示波器观察U O和U1的相位关系,记入表2-2。

表2-2

3、观察静态工作点对电压放大倍数的影响

置R C=2.4KΩ,R L=∞,U i适量,调节R W,用示波器监视输出电压波形,在U O不失真的条件下,测量数组I C和U0值,记入表2-3

C L i

测量时,要先将信号源输出旋钮至零(即使U i=0)。

4、观察静态工作点对输出波形失真的影响

置R C=2.4KΩ,R l=2.4KΩ,U i=0,调节R W使I C=2.0mA,测出U CE值,再逐步加大输入信号,使输出电压U O足够大但不失真。然后保持输入信号不变,分别增大和减小R W,使波形出现失真,绘出U O的波形,并测出失真情况下的 I C和U CE值,记入表2-4中。每次测I C和U CE值时都要将信号源的输出旋钮旋至零。

5、测量最大不失真输出电压

置R C=2.4KΩ,R l=2.4KΩ,按照实验原理2.4)中所述方法,同时调节输入信号的幅度和电位器R W,用示波器和交流毫伏表测量及值UO PP及U O,记入表2-5。

表2-5

四、验总结

1、列表整理测量结果,并把实测的静态工作点、电压放大倍数、输入电阻、输出电阻之值与理论计算值比较(取一组数据进行比较),分析产生误差的原因。

2、总结静态工作点对放大器电压放大倍数、输入电阻、输出电阻的影响。

3、讨论静态工作点变化对放大器输出波形的影响。

4、分析讨论在调试过程中出现的问题

实验二负反馈放大器

一、实验目的

加深理解放大电路中引入负反馈的方法和负反馈对放大器各项性能指标的影响。

二、实验设备与器件

1、+12V直流电源

2、函数信号发生器

3、双踪示波器

4、频率计

5、交流毫伏表

6、直流电压表

7、晶体三极管3DG6×2(β=50~100)或9011×2电阻器、电容器若干。

三、实验内容

1、测量静态工作点

按图4-1连接实验电路,取U CC=+12V,U i=0,用直流电压表分别测量第一级、第二级的静态工作点,记入表2-1

表2-1

2、测试基本放大器的各项性能指标

将实验电路按图4-2改接,即把R f断开后分别并在R F1和R L上,其它连线不动。

1)测量中频电压放大倍数A V,输入电阻和输出电阻R O。

①以f=1KHZ,U S约5mV正弦信号输入放大器,用示波器监视输出波形U0,U0在不失真的情况下,用交流毫伏表测量U S、U i、U L,记入表2-2。

表2-2 空载

②保持U S不变,断开负载电阻R L(注意,R f不要断开),测量空载时的输出电压U0,记入表2-2。

2)测量通频带

接上R L,保持1)中的U S不变,然后增加和减小输入信号的频率,找出上、下限频率

f h和f1,记入表2-3。

3、测试负反馈放大器的各项性能指标

将实验电路恢复为图4-1的负反馈放大电路。适当加在U s(约10mV),在输出波形不失真的条件下,测量负反馈放大器的A vr、R ir和Ror,记入表2-2;测量f hf和f lf,记入表2-3。表2-3

*4、观察负反馈对非线性失真的改善

1)实验电路改接成基本放大器形式,在输入端加入f=1KH Z正弦信号,输出端接示波器,逐渐增大输入信号的幅度,使输出波形开始出现失真,记下此时的波形和输出电压的幅度。

2)再将实验电路改接成负反馈放大器形式,增大输入信号幅度,使输出电压幅度的大小与1)相同,比较有负反馈时,输出波形变化。

五、实验总结

1、将基本放大器和负反馈放大器动态参数的实测值和理论估算值列表迸行比较。

2、根据实验结果,总结电压串联负反馈对放大器性能的影响

实验三差动放大器

一、实验目的

1、加深对差动放大器性能及特点的理解

2、学习差动放大器主要性能指标的测试方法

二、实验设备与器件

1、±12V直流电源

2、函数信号发生器

3、双踪示波器

4、交流毫伏表

5、直流电压表

6、晶体三极管3DG6×3,要求T1、T2管特性参数一致。(或9011×3)。

电阻器、电容器若干。

三、实验内容

1、典型差动放大器性能测试

按图6-1连接实验电路,K拨向左边构成典型差动放大器。

1)测量静态工作点

①调节放大器零点

信号源不接入。将放大器输入端A、B与地短接,接通±12V直流电源,用直流电压表测量输出电压U0,调节调零电位器R P,使U0=0。调节要仔细,力求准确。

②测量静态工作点

零点调好以后,用直流电压表测量T1、T2管各电极电位及射极电阻R E两端电压U RE,记入表4-1

2)测量差模电压放大倍数

断开直流电源,将函数信号发生器的输出端接放大器输入A端,地端接放大器输入B 端构成单端输入方式,调节输入信号为频率f=1KH Z并使输出旋钮旋至零用示波器监视输出端(集电极C1或C2与地之间)。

接通±12V直流电源,逐渐增大输入电压U i(约100mV),在输出波形无失真的情况下,用交流毫伏表测U i,U C1,U C2,记入表4-2中,并观察U i,U C1,U C2,之间的相位关系及U RE随U i改变而变化的情况。

3)测量共模电压放大倍数

将放大A、B短接,信号源接A端与地之间,构成共模输入方式,调节输入信号f=1KH Z,U i=1V在输出电压无失真的情况下,测量U C1,U C2之值记入表4-2,并观察U i,U C1,U C2之间的相位关系及U RE随U i改变而变化的情况。

四、实验总结

1、整理实验数据,列表比较实验结果和理论估算值,分析误差原因。

1)静态工作点和差模电压放大倍数。

2)典型差动放大电路单端输出时的KCMRR实测值与理论值比较

3)典型差动放大电路单端输出进KCMRR的实测值与具有恒流源的差动放大KCMRR实测值比较。

2、比较U i,U C1和U C2之间的相位关系。

3、根据实验结果,总结电阻R E和恒流源的作用。

实验四集成运算放大器的基本应用

--模拟运算电路

一、实验目的

1、研究由集成运算放大器组成的比例、加法、减法、等基本运算放大电路的功能。

2、了解运算放大器在实际应用时应考虑的一些问题。

二、实验设备

1、±12V直流电源

2、信号发生器

3、交流毫伏表

4、直流电压表

5、集成运算放大器LM324

6、电阻器若干。

三、实验内容

实验前要看清运放组件各管脚的位置,切忌正负电源极性接反和输出端短路,否则将会损坏集成块。

1、反相比例运算电路

按图5-1连接实验电路,输入端连接直流信号发生器,按表格数据调整输入信号,测量输出信号。

2、同相比例运算电路

按图5-2连接实验电路,输入端连接直流信号发生器,按表格数据调整输入信号,测量输出信号。

3、反相加法运算电路

按图5-3连接实验电路,输入端连接直流信号发生器,按表格数据调整输入信号,测量输出信号。

四、实验总结

1、整理实验数据,将理论计算结果与实际测量数据相比较,分析产生误差的原因。

实验五直流稳压电源

一、实验目的

1、研究单相半波整流、电容滤波电路的特性。

2、研究单相桥式整流、电容滤波电路的特性。

3、掌握三端集成稳压器的使用及其电源主要技术指标的测试方法。

二、实验设备与器件

1、可调工频电源

2、双踪示波器

3、交流毫伏表

4、万用表

5、晶体管二极管IN4007×4 稳压管IN4735×1 电阻器、电容器若干

三、实验内容

1、整流滤波电路测试

按图9-3连接实验电路,取可调工频电源电压为10V,作为整流电路输入电压U2。

1)取R L=240Ω,不加滤波电容,测量变压器二次侧交流电压,和直流输出电压U L及纹

波电压U L~,并用示波器观察U2和U L波形,记入表9-1

2)取R L=240Ω,C=470μf,重复内容1的要求,记入表9-1

按图9-1连接实验电路,取可调工频电源电压为10V,作为整流电路输入电压U2。

1)取R L=240Ω,不加滤波电容,测量变压器二次侧交流电压,和直流输出电压U L及

纹波电压U L~,并用示波器观察U2和U L波形,记入表9-1

2)取R L=240Ω,C=470μf,重复内容1的要求,记入表9-1

3)连接∏型滤波电路,重复内容1的要求,记入表9-1

注意

①每次改接电路时,必须切断工频电源。

②在观察输出电压波形的过程中,“Y轴灵敏度”旋钮位置调好以后,不要再变动,否则将无法比较各波形的脉动情况。

2、三端集成稳压电路的连接和测试

1)按图9-2连接实验电路,取可调工频电源电压为17V,作为整流电路输入电压U2。

2

五、实验总结

1、对表9-1所测结果进行全面分析,总结桥式整流、电容滤波电路的特点。

2、根据表9-2所测数据,对三端集成稳压电路性能进行分析。

3、分析实验中出现的故障及其排除方法。

模拟电子电路仿真和实测实验方案的设计实验报告111-副本

课程专题实验报告 (1) 课程名称:模拟电子技术基础 小组成员:涛,敏 学号:0,0 学院:信息工程学院 班级:电子12-1班 指导教师:房建东 成绩: 2014年5月25日

工业大学信息工程学院课程专题设计任务书(1)课程名称:模拟电子技术专业班级:电子12-1 指导教师(签名): 学生/学号:涛 0敏0

实验观察R B 、R C 等参数变化对晶体管共射放大电路放大倍数的影响 一、实验目的 1. 学会放大器静态工作点的调式方法和测量方法。 2.掌握放大器电压放大倍数的测试方法及R B 、R C 等参数对放大倍数的影响。 3. 熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1为电阻分压式工作点稳定单管放大器实验电路图。偏置电阻R B1、R B2组成分压电路,并在发射极中接有电阻R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号后,在放大器的输出端便可得到一个与输入信号相位相反、幅值被放大了的输出信号,从而实现了电压放大。 三、实验设备 1、 信号发生器 2、 双踪示波器 SS —7802 3、 交流毫伏表 V76 4、 模拟电路实验箱 TPE —A4 5、 万用表 VC9205 四、实验容 1.测量静态工作点 实验电路如图1所示,它的静态工作点估算方法为: U B ≈ 2 11B B CC B R R U R +? I E =E BE B R U U -≈Ic U CE = U CC -I C (R C +R E )

图1 晶体管放大电路实验电路图 实验中测量放大器的静态工作点,应在输入信号为零的情况下进行。 根据实验结果可用:I C ≈I E = E E R U 或I C = C C CC R U U U BE =U B -U E U CE =U C -U E 计算出放大器的静态工作点。 五.晶体管共射放大电路Multisim仿真 在Multisim中构建单管共射放大电路如图1(a)所示,电路中晶体管采用FMMT5179 (1)测量静态工作点 可在仿真电路中接入虚拟数字万用表,分别设置为直流电流表或直流电压 表,以便测量I BQ 、I CQ 和U CEQ ,如图所示。

模拟电路实验指导书

目录 实验一整流、滤波、稳压电路 (1) 实验二单级交流放大器(一) (5) 实验三单级交流放大器(二) (7) 实验四两级阻容耦合放大电路 (9) 实验五负反馈放大电路 (11) 实验六射极输出器的测试 (14) 实验七 OCL功率放大电路 (16) 实验八差动放大器 (18) 实验九运算放大器的基本运算电路(一) (20) 实验十集成运算放大器的基本运算电路(二) (22) 实验十一比较器、方波—三角波发生器 (24) 实验十二集成555电路的应用实验 (26) 实验十三 RC正弦波振荡器 (30) 实验十四集成功率放大器 (32) 实验十五函数信号发生器(综合性实验) (34) 实验十六积分与微分电路(设计性实验) (36) 实验十七有源滤波器(设计性实验) (38) 实验十八电压/频率转换电路(设计性实验) (40) 实验十九电流/电压转换电路(设计性实验) (41)

实验一整流、滤波、稳压电路 一、实验目的 1、比较半波整流与桥式整流的特点。 2、了解稳压电路的组成和稳压作用。 3、熟悉集成三端可调稳压器的使用。 二、实验设备 1、实验箱(台) 2、示波器 3、数字万用表 三、预习要求 1、二极管半波整流和全波整流的工作原理及整流输出波形。 2、整流电路分别接电容、稳压管及稳压电路时的工作原理及输出波形。 3、熟悉三端集成稳压器的工作原理。 四、实验内容与步骤 首先校准示波器。 1、半波整流与桥式整流: ●分别按图1-1和图1-2接线。 ●在输入端接入交流14V电压,调节使I O=50mA时,用数字万用表测出V O,同时用 示波器的DC档观察输出波形记入表1-1中。 图1-1

实验一、电路模拟基础

实验一、电路模拟基础 概要 该实验包括用户基础界面,ADS文件的创建过程包括建立原理图、仿真控件、仿真、和数据显示等部分的内容。该实验还包括调谐与谐波平衡法仿真的一个简单例子。 目标 ●建立一个新的项目和原理图设计 ●设置并执行S参数模拟 ●显示模拟数据和储存 ●在模拟过程中调整电路参数 ●使用例子文件和节点名称 ●执行一个谐波平衡模拟 ●在数据显示区写一个等式 目录 1.运行ADS (2) 2.建立新项目 (3) 3.检查你的新项目内的文件 (5) 4.建立一个低通滤波器设计 (5) 5.设置S参数模拟 (6) 6.开始模拟并显示数据 (7) 7.储存数据窗口 (9) 8.调整滤波器电路 (10) 9.模拟一个RFIC的谐波平衡 (12) 10.增加一个线标签(节点名称),模拟,显示数据 (16)

步骤 1.运行ADS 在开始菜单中选择“Advanced Design System2005A → Advanced Design System”(见图一)。 图一、开始菜单中ADS 2005A的选项 用鼠标点击后出现初始化界面。 图二、ADS 2005初始化界面 随后,很快出现ADS主菜单。 图三、ADS主菜单

如果,你是第一次打开ADS,在打开主菜单之前还会出现下面的对话框。询问使用者希望做什么。 图四、询问询问使用者希望做什么的对话框 其中有创建新项目(Create a new project);打开一个已经存在的项目(Open a existing project);打开最近创建的项目(Open a recently used project)和打开例子项目(Open an example project)四个选项。你可以根据需要打开始当的选项。同样,在主菜单中也有相同功能的选项。如果,你在下次打开主菜单之前不出现该对话框,你可以在“Don’t display this dialog box again”选项前面的方框内打勾。 2.建立新项目 a.在主窗口,通过点击下拉菜单“File→New Project…”创建新项目。 图五、创建新项目对话框 其中,项目的名称的安装目录为ADS项目缺省目录对应的文件夹。(一般安装时缺省目录是C:\user\default,你可以修改,但是注意不能用中文名称或放到中文名称的目录中,因为那样在模拟时会引起错误)。在项目名称栏输入项目名称“lab1”。 对话框下面的项目技术文件主要用于设定单位。在微带线布局时有用,我们选择mil。

模拟电路自主设计实验

姓名_____________________班级_____________________学号_____________________ 日期_____________节次______________成绩__________教师签字__________________ 哈尔滨工业大学模拟电路自主设计实验 实验名称:运算放大器在限幅电路中的应用 一、实验目的 1、深入了解运算放大器的放大作用和深度负反馈; 2、灵活运用运算放大器的多种应用; 二、总体技术路线 2.1 当输入信号电压进入某一范围内,其输出信号的电压不再跟随输入信号电压的变化。 串联限幅电路:当输入电压U i <0或U i为数值较小的正电压时,D1截止,运算放大器的输出电压U0=0;仅当输入电压U i>0且U i为数值大于或等于某一个的正电压U th时,D1才正偏导通,电路有输出,且U0跟随输入信号U i变化。 并联限幅电路:当输入信号U i较小时,输出电压U0也较小,D1和D2没有击穿,U0跟随输入信号U i变化而变化,传输系数为:A uf=-R1 /R2;当U i幅值增大,使U0的幅值增大,并使D1和D2击穿,输出U0的幅度保持+(U z+U D)值不变,电路进入限幅工作状态。 2.2绝对值电路 当输入电压U i>0,则运算放大器的输出电压U1,D1导通,D2截止,输出电压U0 =0;当输入电压U i <0,则运算放大器的输出电压U1 >0,D2导通,D1截止,输出电压U0 =-R1 U i/R2。并通过反向放大器将整流信号放大两倍,再增加一个同相加法器,让输入信号的另一极性电

压不经整流,而直接送到加法器,与来自整流电路的输出电压相加,便构成了绝对值电路。 三、实验电路图 1、串联限幅电路: 2、并联限幅电路:

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

模拟电路实验报告.doc

模拟电路实验报告 实验题目:成绩:__________ 学生姓名:李发崇学号指导教师:陈志坚 学院名称:专业:年级: 实验时间:实验室: 一.实验目的: 1.熟悉电子器件和模拟电路试验箱; 2.掌握放大电路静态工作点的调试方法及其对放大电路性能的影 响; 3.学习测量放大电路Q点、A V、r i、r o的方法,了解公发射极电路特 性; 4.学习放大电路的动态性能。 二、实验仪器 1.示波器 2.信号发生器 3.数字万用表 三、预习要求 1.三极管及单管放大电路工作原理: 2.放大电路的静态和动态测量方法:

四.实验内容和步骤 1.按图连接好电路: (1)用万用表判断试验箱上三极管的好坏,并注意检查电解电容 C1,C2的极性和好坏。 (2)按图连接好电路,将Rp的阻值调到最大位置。(注:接线前先 测量电源+12V,关掉电源后再连接) 2.静态测量与调试 按图接好线,调整Rp,使得Ve=1.8V,计算并填表 心得体会:

3.动态研究 (一)、按图连接好电路 (二)将信号发生器的输入信号调到f=1kHz,幅值为500mVp,接至放大电路A点。观察Vi和V o端的波形,并比较相位。 (三)信号源频率不变,逐渐加大信号源输出幅度,观察V o不失真时的最大值,并填表: 基本结论及心得: Q点至关重要,找到Q点是实验的关键, (四)、保持Vi=5mVp不变,放大器接入负载R L,在改变Rc,R L数值的情况下测量,并将计算结果填入表中:

实验总结和体会: 输出电阻和输出电阻影响放大效果,输入电阻越大,输出电阻越小,放大效果越好。 (1)、输出电阻的阻值会影响放大电路的放大效果,阻值越大,放大的倍数也越大。 (2)、连在三极管集电极的电阻越大,电压的放大倍数越大。 (五)、Vi=5mVp,增大和减小Rp,观察V o波形变化,将结果填入表中: 实验总结和心得体会: 信号失真的时候找到合适Rp是产生输出较好信号关键。 (1)Rp只有在适合的位置,才能很好的放大输入信号,如果Rp阻值太大,会使信号失真,如果Rp阻值太小,则会使输入信号不能被

电路与模拟电子技术实验指导书夏玉勤

电路与模拟电子技术实验指导书夏玉 勤

一、注意事项: 1、进入实验室( 一教813) 必须穿鞋套, 否则不允许进入实验室。 2、进入实验室后遵守实验室的规章制度。 3、该课程共有7个实验。在做实验之前必须做好预习工作, 需要用multisim仿真的, 在做实验之前应该完成。明确实验目的, 切实 地掌握理论知识和实验原理, 尽量做到带着问题做实验。 4、进入实验室学生要细心连接电路, 通电前须仔细检查电路的电源电压和接地情况, 检查无误后通电。出现问题时要冷静的分析并查找原因。对实验过程中出现的现象、电路调整的过程以及测量结果要认真、客观的记录。做实验的过程中是2人一组, 2人互相配合完成实验, 发现不积极主动做实验的, 本次实验成绩为0。 5、实验时注意观察, 若发现有破环性现象( 如元器件发烫、异 味、冒烟) , 应立即关断电源, 保持现场, 并报告指导老师, 找出原因, 排除故障, 经指导老师同意后再继续实验。 5、实验完成后要让指导教师检查实验结果, 正确后方可拆除电路。 6、实验结束后, 撰写实验报告( 电子版) , 整理实验数据, 分析数据, 加深对理论知识和实验原理的理解, 增强利用理论知识, 解决设计 问题的能力。 7、有2个或2个以上的实验没有完成或未交实验报告, 该课程的实验成绩为不及格。 二、实验课时分配( 18学时)

实验一: 电路基本元件伏安特性的测试 一、实验目的 1.学会直流稳压电源( 固定和可调) 、电流表和电压表的使用方法。 2.了解实际电压源、电流源和电阻的外特性。 3.学会伏安特性的逐点测试法。 二、实验原理 略 三、实验内容

Multisim模拟电路仿真实验

实验19 Multisim 数字电路仿真实验 1.实验目的 用Multisim 的仿真软件对数字电路进行仿真研究。 2.实验内容 实验19.1 交通灯报警电路仿真 交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下 列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯 同时指示,而其他情况下均属于故障状态。出故障时报警灯亮。 设字母R 、Y 、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮, 低电平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表 19.1所示。 逻辑表达式为:RY RG G Y R Z ++= 若用与非门实现,则表达式可化为:RY RG G Y R Z ??= Multisim 仿真设计图如图19.1所示: 图19.1的电路图中分别用开关A 、B 、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1的亮暗模拟报警灯的亮暗。另外用了一个5V 直流电源、一个7400四2输入与非门、一个7404六反相器、一个7420双4输入与非门、一个500 表19.1 LED_red LED1 图19.1

欧姆电阻。 在模拟实验中可以看出,当开关A、B、C中只有一个拨向高电平,以及B、C同时拨向高电平而A拨向低电平时报警灯不亮,其余情况下报警灯均亮。 实验19.2数字频率计电路仿真 数字频率计电路(实验13.3)的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2位数码管,则测量的最大频率是99Hz。 数字频率计电路Multisim仿真设计图如图19.2所示。其电路结构是: 用二片74LS90(U1和U2)组成BCD码100进制计数器,二个数码管U3和U4分别显示十位数和个位数。四D触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0信号。信号发生器XFG1产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。三输入与非门7410(U6A)为控制闸门。 运行后该频率计进行如下自动循环测量: 计数1秒→显示3秒→清零1秒→…… 改变被测脉冲频率,重新运行。

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

模拟电子技术实验指导书(经典)

《模拟电子技术》 实验指导书 班级: 姓名: 学号: 指导老师: 2017年1月制

实验一电子仪器仪表的使用 一、实验目的 1、学习使用直流稳压电源,低频信号发生器,毫伏表,示波器等仪器的正确操作方法。 2、了解以上各仪器的工作范围及性能。 二、实验设备 1、低频信号发生器1台 2、毫伏表1台 3、示波器1台 4、万用表1块 三、实验原理及内容 在电子技术实验里,测试和定量分析电路的静态和动态的工作状况时,最常用的电子仪器有:示波器、低频信号发生器、直流稳压电源、晶体管毫伏表、数字式(或指针式)万用表等,如图所示 1、实验电路测量 2、仪器仪表的工作范围 3、低频信号发生器,为电路提供各种频率和幅度的输入信号; 4、毫伏表用于测量电路的输入、输出信号的有效值; 5、示波器:用来观察电路中各点的波形,以监视电路是否正常工作,同时还用于测量波形的周期、幅度、相位差及观察电路的特性曲线等; 6、万用表(指针式):用于测量电路的静态工作点和直流信号的值。 四、实验步骤 1、打开实验仪器的电源开关让仪器预热。 2、实验箱右侧有电压为12V、-12V、5V~27V等值。并用万用表合适的直流电压量程测量校对以上各电压值。测量并记录。 3、调节XD22A低频信号发生器的“频率范围”旋钮,使f=1KHz。调节“输出衰减”“输出调节”旋钮,使低频信号发生器指示电压为3V(有效值),并用毫伏表中合适的量程测量在不同“输出衰减”对应的低频信号发生器实际输出电压值。 XD22A低频信号发生器的“输出衰减”;量程以“dB”量表示。旋钮置于“0”dB时,输出电压为表头指示值,无衰减。换算过程如下: dB=20|lgA|,A为衰减倍数,如,“输出衰减”旋钮置于0dB时,A=100=1,此时表头的任何指示值都乘以1,表示输出没有衰减,输出电压为表头指示值;又如:“输出衰减”旋钮置于10dB时A=100..5=0.333倍,此时表头的任何指示值都乘以0.33,便是输出电压有效值。

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

模拟电路仿真实验

模拟电路仿真实验 实验报告 班级: 学号: 姓名:

多级负反馈放大器的研究 一、实验目的 (1)掌握用仿真软件研究多级负反馈放大电路。 (2)学习集成运算放大器的应用,掌握多级集成运放电路的工作特点。 (3)研究负反馈对放大器性能的影响,掌握负反馈放大器性能指标的测试方法。 1.测试开环和闭环的电压放大倍数、输入电阻、反馈网络的电压反馈系数的通频带; 2.比较电压放大倍数、输入电阻、输出电阻和通频带在开环和闭环时的差别; 3.观察负反馈对非线性失真的改善。 二、实验原理及电路 (1)基本概念: 1.在电子电路中,将输出量(输出电压或输出电流)的一部分或全部通过一定的电路形式作用到输入回路,用来影响其输入量(放大电路的输入电压或输入电流)的措施称为反馈。 若反馈的结果使净输入量减小,则称之为负反馈;反之,称之为正反馈。若反馈存在于直流通路,则称为直流反馈;若反馈存在于交流通路,则称为交流反馈。 2.交流负反馈有四种组态:电压串联负反馈;电压并联负反馈;电流串联负反馈;电流并联负反馈。若反馈量取自输出电压,则称之为电压反馈;若反馈量取自输出电流,则称之为电流反馈。输入量、反馈量和净输入量以电压形式相叠加,称为串联反馈;以电流形式相叠加,称为并联反馈。 3.在分析反馈放大电路时,“有无反馈”决定于输出回路和输入回路是否存在反馈支路。“直流反馈或交流反馈”决定于反馈支路存在于直流通路还是交流通路;“正负反馈”的判断可采用瞬时极性法,反馈的结果使净输入量减小的为负反馈,使净输入量增大的为正反馈;“电压反馈或电流反馈”的判断可以看反馈支路与输出支路是否有直接接点,如果反馈支路与输出支路有直接接点则为电压反馈,否则为电流反馈;“串联反馈或并联反馈”的判断可以看反馈支路与输入支路是否有直接接点,如果反馈支路与输入支路有直接接点则为并联反馈,否则为串联反馈。 4.引入交流负反馈后,可以改善放大电路多方面的性能:提高放大倍数的稳定性、改变输入电阻和输出电阻、展宽通频带、减小非线性失真等。实验电路如图所示。该放大电路由两级运放构成的反相比例器组成,在末级的输出端引入了反馈网路C f 、R f2和R f1,构成了交流电压串联负反馈电路。 R110kΩ R2100kΩ R3 10kΩ R43.9kΩ R53.9kΩ R63.9kΩ R7200kΩ R81kΩ R94.7kΩR10300kΩ U1A LM324N 3 2 11 41 U1C LM324N 10 9 11 4 8 C110uF C210uF C3 10uF J1 Key = Space J2 Key = A VCC 10V VEE -10V 1 4 10 8 11 12 13 7 3 6 5VEE VCC 2 9

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

2020年(电子行业企业管理)模拟电子实验指导书(用)

实验一常用电子仪器的使用及电子元器件的识别与检测 一﹑实验目的 1、熟悉模拟电子技术实验中常用电子仪器的功能,面板标识,及各旋扭,换档开关 的用途。 2、初步掌握用示波器观察正弦波信号波形和测量波形参数的方法,学会 操作要领及注意事项,正确使用仪器。 3、初步认识本学期实验用的全部器件,学习常用电子元器件的识别及用万用表检测和判 断它们的好坏与管脚,并测量其值。 4、了解元器件数值的标注方法(直标法﹑文字符号法﹑色标法),电路中元件数值的 标注方法及元件的标注﹑符号﹑单位和换算。 二、实验仪器 1、双踪示波器 2、多功能信号发生器 3、数字交流毫伏表 4、数字万用表 三、预习要求 1、认真阅读本实验指导书的附录一及附录二。 2、认识本实验的仪器,了解其功能。面板标识及换档开关与显示。 四、实验内容及步骤 实验电子仪器框图

输出信号 输出信号 交流 电压 号 图 1-1 (1) 实验内容 1. 常用电子仪器的使用: 1) 将信号发生器调至频率f = 1000Hz 电压V = 100mv 的正弦波电压输出。 2) 用数字毫伏表测量信号发生器是否为100mv(有效值)。 3) 用示波器通道1经测量探头输入。测量信号发生输出是否为正弦电压,其峰___ 峰值Vpp = 2×√2 ×100 = 282mv 。频率f=1000Hz (即周期T = 1/f = 100ms ) 注意:

a.使用时,将所有仪器接地端联接在一起,即“共地”,否则可能引起外界干扰,导致测量误差增大。 b.调节示波器旋扭,使图形亮度适中,线条清晰。 c.调节示波器同步旋扭,使图形大小适中,稳定。 4)改变信号发生器输出的正弦波频率与电压大小,在下面的三个频率和三个幅度 附近任选三个组合,重新观察,测量。记录下读数。 频率:500Hz ;2KHz ;100KHz ; 幅度:100mV ; 1.8V ;10V ; 记录表格: 2.各种常用电子元器件识别与检测: 1)电阻的测量。 用实际元件为例,进行色环电阻单位换算并用万用表测量电阻和电位器的阻值。作下记录。 2)电容的测量。 电容元件的分类﹑特点﹑主要参数与选用。以实际元件为例。进行电容单位换算练习用

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 1

2 实验一 直流网络定理 一、实验目的 1、加深对叠加原理的内容和适用范围的理解; 2、用实验方法验证戴维宁定理的正确性; 3、学习线性有源二端网络等效电路参数的测量方法。 二、实验属性:验证性实验。 三、实验仪器设备及器材 电工实验装置:DG012T 、DY031T 、DG051T 四、实验要求 实验前些预习报告,凭预习报告参加实验。预习叠加原理和戴维宁定理。实验中听从安排,正确使用仪表,记录测量数据,实验后根据要求认真书写实验报告。 五、实验原理 1、叠加原理 线性电路中,任一电压或电流都是电路中各个独立电源单独作用时,在该处产生的电压或电流的叠加。 2、戴维宁定理 一个含独立电源、线性电阻和受控源的二端网络,对外电路来说,可以用一个电压源和电阻的串联组合等效置换,如图1-1所示。此电压源的电压等于二端网络的开路电压U oc ,电阻等于二端网络的全部独立电源置零后的等效电阻R 0。 图1-1 对于已知的线性有源二端网络,其等效电阻R 0可以从原网络计算得出,也可以通过实验手段测出。下面介绍几种测量方法。 方法一:又戴维宁定理和诺顿定理可知: SC oc o I U R 因此,只要测出线性有源二端网络的开路电压U oc 和短路电流I SC ,R o 就可得出,这种方法最简单。但是,对于不允许将外部电路直接短路的网络,不能采用此法。 方法二:测出线性有源二端网络的开路电压U oc 以后,在端口处接一负载电阻R L ,然后在测出负载电阻的端电压U RL ,因为:

3 L L o oc RL R R R U U += 则等效电阻为: L RL oc o R U U R )1( -= 方法三:令线性有源二端网络中的所有独立电源置零,然后在断口处加一给定电压U ,测得流入短的电流I (如图1-2a 所示),则: U 图1-2a 图1-2b 也可以在端口处接入电流源I ‘,测得端口电压U ‘ (如图1-2b 所示),则: ''I U R o = + _ U S1=10V R R 图1-3 六、实验步骤 1、叠加原理 实验电路如图1-3。 (1)把K 2掷向短路线一边,K 1掷向电源一边,使U S1单独作用,测量各电流、电压,并记录在表1-1中; (2)把K 1掷向短路线一边,K 2掷向电源一边,使U S2单独作用,测量各电流、电压,并记录在表1-1中; 两电源共同作用时,测量各电流、电压,并记录在表1-1中。

模拟电路实验仿真

模拟电子电路仿真 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB 对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,

《模拟电子技术实验》实验指导书

《模拟电子技术实验》实验 指导书 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

前言 《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

相关主题
文本预览
相关文档 最新文档