当前位置:文档之家› tcl脚本文件

tcl脚本文件

##############################################
# URL: https://www.doczj.com/doc/c717978964.html,
# REV: 1.0
# AUTHOR: AVIC
# DATE: 2010.6.19
#############################################

#------------------GLOBAL--------------------#
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF

#------------------SDRAM---------------------#
set_location_assignment PIN_152 -to sdram_addr[0]
set_location_assignment PIN_150 -to sdram_addr[1]
set_location_assignment PIN_149 -to sdram_addr[2]
set_location_assignment PIN_147 -to sdram_addr[3]
set_location_assignment PIN_208 -to sdram_addr[4]
set_location_assignment PIN_207 -to sdram_addr[5]
set_location_assignment PIN_206 -to sdram_addr[6]
set_location_assignment PIN_205 -to sdram_addr[7]
set_location_assignment PIN_203 -to sdram_addr[8]
set_location_assignment PIN_201 -to sdram_addr[9]
set_location_assignment PIN_160 -to sdram_addr[10]
set_location_assignment PIN_200 -to sdram_addr[11]
set_location_assignment PIN_162 -to sdram_ba[0]
set_location_assignment PIN_161 -to sdram_ba[1]
set_location_assignment PIN_198 -to sdram_CKE
set_location_assignment PIN_185 -to sdram_dq[15]
set_location_assignment PIN_187 -to sdram_dq[14]
set_location_assignment PIN_188 -to sdram_dq[13]
set_location_assignment PIN_189 -to sdram_dq[12]
set_location_assignment PIN_191 -to sdram_dq[11]
set_location_assignment PIN_192 -to sdram_dq[10]
set_location_assignment PIN_193 -to sdram_dq[9]
set_location_assignment PIN_195 -to sdram_dq[8]
set_location_assignment PIN_171 -to sdram_dq[7]
set_location_assignment PIN_173 -to sdram_dq[6]
set_location_assignment PIN_175 -to sdram_dq[5]
set_location_assignment PIN_176 -to sdram_dq[4]
set_location_assignment PIN_179 -to sdram_dq[3]
set_location_assignment PIN_180 -to sdram_dq[2]
set_location_assignment PIN_181 -to sdram_dq[1]
set_location_assignment PIN_182 -to sdram_dq[0]
set_location_assignment PIN_170 -to sdram_dqm[0]
set_location_assignment PIN_197 -to sdram_dqm[1]
set_location_assignment PIN_168 -to sdram_nCAS
set_location_assignment PIN_164 -to sdram_nCS
set_location_assignment PIN_165 -to sdram_nRAS
set_location_assignment PIN_169 -to sdram_nWE
set_location_assignment PIN_151 -to sdram_clk

set_location_assignment PIN_28 -to reset
set_location_assignment PIN_23 -to clk

#------------------led---------------------#
set_location_assignment PIN_84 -to led_pio[4]
set_location_assignment PIN_86 -to led_pio[5]
set_location_assignment PIN_82 -to led_pio[3]
set_location_assignment PIN_81 -to led_pio[2]
set_location_assignment PIN_80 -to led_pio[1]
set_location_assignment PIN_77 -to led_pio[0]
set_location_assignment PIN_88 -to led_pio[7]
set_location_assignment PIN_87 -to led_pio[6]

#------------------tristate---------------------#
set_location_assignment PIN_118 -to bus_addr[18]
set_location_assignment PIN_127 -to bus_addr[17]
set_location_assignmen

t PIN_128 -to bus_addr[16]
set_location_assignment PIN_141 -to bus_addr[14]
set_location_assignment PIN_133 -to bus_addr[15]
set_location_assignment PIN_142 -to bus_addr[13]
set_location_assignment PIN_143 -to bus_addr[12]
set_location_assignment PIN_144 -to bus_addr[11]
set_location_assignment PIN_145 -to bus_addr[10]
set_location_assignment PIN_96 -to bus_addr[9]
set_location_assignment PIN_97 -to bus_addr[8]
set_location_assignment PIN_99 -to bus_addr[7]
set_location_assignment PIN_101 -to bus_addr[6]
set_location_assignment PIN_102 -to bus_addr[5]
set_location_assignment PIN_113 -to bus_addr[4]
set_location_assignment PIN_114 -to bus_addr[3]
set_location_assignment PIN_115 -to bus_addr[2]
set_location_assignment PIN_116 -to bus_addr[1]
set_location_assignment PIN_117 -to bus_addr[0]
set_location_assignment PIN_146 -to bus_addr[19]
set_location_assignment PIN_95 -to bus_addr[20]

set_location_assignment PIN_107 -to bus_data[0]
set_location_assignment PIN_106 -to bus_data[1]
set_location_assignment PIN_105 -to bus_data[2]
set_location_assignment PIN_104 -to bus_data[3]
set_location_assignment PIN_135 -to bus_data[7]
set_location_assignment PIN_137 -to bus_data[6]
set_location_assignment PIN_103 -to nWE
set_location_assignment PIN_134 -to nOE
set_location_assignment PIN_138 -to bus_data[5]
set_location_assignment PIN_139 -to bus_data[4]

set_location_assignment PIN_90 -to flash_nCS1
set_location_assignment PIN_112 -to sram_nCS

set_location_assignment PIN_70 -to BZSP
set_location_assignment PIN_72 -to speaker
#------------------button---------------------#
set_location_assignment PIN_129 -to button[0]
set_location_assignment PIN_130 -to button[1]
set_location_assignment PIN_131 -to button[2]
set_location_assignment PIN_132 -to button[3]
#------------------seg---------------------#
set_location_assignment PIN_76 -to ch452_INT
set_location_assignment PIN_75 -to ch452_scl
set_location_assignment PIN_74 -to ch452_sda
#------------------lcd---------------------#
set_location_assignment PIN_41 -to lcd_E
set_location_assignment PIN_39 -to lcd_RS
set_location_assignment PIN_40 -to lcd_RW
set_location_assignment PIN_43 -to lcd_data[0]
set_location_assignment PIN_44 -to lcd_data[1]
set_location_assignment PIN_45 -to lcd_data[2]
set_location_assignment PIN_46 -to lcd_data[3]
set_location_assignment PIN_48 -to lcd_data[4]
set_location_assignment PIN_56 -to lcd_data[5]
set_location_assignment PIN_57 -to lcd_data[6]
set_location_assignment PIN_58 -to lcd_data[7]

set_location_assignment PIN_63 -to rxd
set_location_assignment PIN_61 -to txd

set_location_assignment PIN_89 -to LA_IN
set_location_assignment PIN_47 -to PLL1_OUT
#------------------I2CDA---------------------#
set_location_assignment PIN_59 -to ad_da_scl
set_location_assignment PIN_60 -to ad_da_sda
#------------------I2CRTC---------------------#
set_location_assignment PIN_64 -to rtc_scl
set_location_assignment PIN_67 -to rtc_sda
#

------------------SD---------------------#
set_location_assignment PIN_14 -to SD_CLK
set_location_assignment PIN_15 -to SD_CMD
set_location_assignment PIN_13 -to SD_DAT
set_location_assignment PIN_30 -to SPI_SD_nCS
#------------------Audio---------------------#
set_location_assignment PIN_3 -to AUDIO_CSB
set_location_assignment PIN_4 -to AUDIO_I2C_SDAT
set_location_assignment PIN_5 -to AUDIO_I2C_SCLK
set_location_assignment PIN_6 -to AUDIO_BCLK
set_location_assignment PIN_8 -to AUDIO_DACDAT
set_location_assignment PIN_10 -to AUDIO_DACLRC
set_location_assignment PIN_11 -to AUDIO_ADCDAT

set_location_assignment PIN_1 -to CFG_ASDO
set_location_assignment PIN_2 -to CFG_nCSO
#------------------END-----------------------#






相关主题
文本预览
相关文档 最新文档