当前位置:文档之家› 一台模型机的总体设计

一台模型机的总体设计

一台模型机的总体设计
一台模型机的总体设计

哈尔滨师范大学

学年论文

题目一台模型机的设计

学生

指导教师

年级2009级

专业计算机科学与技术

系别计算机科学与技术

学院计算机科学与信息工程学院

哈尔滨师范大学

2012年月

论文提要

计算机的发展突飞猛进,日新月异,短短50年中,已经历了电子管计算机、晶体管计算机、集成电路计算机和大规模/超大规模集成电路计算机等四代的发展历程。各代计算机的更替除主要表现在组成计算机的电子元器件的更新换代外,还集中表现在计算机系统结构和计算机软件技术的改进上。正是这几方面的飞速进步,才使得计算机的功能、性能一代比一代明显提高;而体积一代比一代明显缩小,价格一代比一代明显降低。今天,一台计算机的性价比远比第一代电子管计算机的高出了成千上万倍。

我们掌握其中的原理设计出一台教学用的模型机是必然的趋势,掌握其中的原理,我们采取较简单的组成模式,以尽量简洁的设计来完成这一模型机。

一台模型机电而设计与实现

摘要:自从1946年美国宾夕法尼亚大学研制出世界上第一台数字电子计算机ENIAC (Electronic Numerical Integrator And Calculator)以来,计算机的发展突飞猛进,日新月异。短短50年中,已经历了电子管计算机、晶体管计算机、集成电路计算机和大规模/超大规模集成电路计算机等四代的发展历程,并自80年代中期起,开始了以模拟人的大脑神经网络功能为基础的第五代计算机

的研究。模型机的结构非常复杂,如果对模型机的结果和工作原理不了解的话在做模型机实验时将非常困难,所以在这里对模型机的结构、工作过程和控制器的控制原理等做详细介绍,以让大家更好的进行模型机实验,从而进一步理解计算机组成原理这门课程中的知识。

关键词:模型机;微指令;控制器;寻址方式

一、模型机的结构

模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图1-1所示。

图1-1 模型机结构图

运算器。

运算器又由运算逻辑单元、数据暂存器、通用寄存器组成。在图1-1模型机的结构图中,ALU、ALU_G和74299组成运算逻辑单元,其中ALU是由2个4位的74LS181串联成8位的运算器,ALU_G是ALU-G实现用于控制ALU的运算结果的输出,74299用74LS299实现用于对ALU的运算结果进行移位运算;数据暂存器在图1-1中由DR1和DR2组成,DR1和DR2都是用74LS273实现,它们用于存储运算器进行运算的两个操作数;通用寄存器在图1-1中由R0、R1和R2组成,R0、R1和R2都是用74LS374实现,它们用作目的寄存器和源寄存器。

控制器。

控制器由微程序控制器、指令寄存器、地址寄存器和程序计数器组成。在图1-1中微程序控制器表示为MControl,它里面存放了指令系统对应的全部微程序,微程序控制器是由微控制存储器和3个138译码器实现(A138、B138和P138),用于产生控制信号来控制各个组件的工作状态;在图1-1中指令寄存器表示为IR,指令寄存器由一个74LS273实现,用于存放当前正在执行的指令;在图1-1中地址寄存器表示为AR,地址寄存器由一个74LS273实现,在读取或者写入存储器时用于指明要读取或写入的地址;程序计数器在图1-1中由PC_G和PC组成,其中PC是由八位二进制同步计数器实现,用于产生程序指针pc的下一个值,PC_G由PC-G实现,用于存储程序的程序指针pc的值。

存储器。

存储器在图1-1中表示为MEN,存储器用静态随机存储器6116实现,用来存储用户程序和数据。

数据总线。

数据总线用于连接运算器、存储器、输入输出等模块,数据总线由

ccp_DataBus实现。

输入输出。

输入输出类似于键盘和显示器。

时序产生器。

在图1-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图1-2所示,时序产生器一个周期中产生四个脉冲信号

T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。

图1-2 时序产生器

二、模型机的总体设计

(一)模型机设计概述

中央处理器(CPU)是计算机系统的核心部件,它包括运算器与控制器两大部分。在早期的计算机中,器件集成度低,运算器与控制器时两个相对独立的部分,各占一至数块插件,甚至各占一至数个机柜。随着大规模、超大规模集成电路技术的发展,逐渐趋向于CPU作为一个整体。在微型计算机中,将CPU集成为一块芯片,称为微处理器。

控制器是全机的指挥系统,它是根据工作程序的指令序列、外部请求、控制台操作,去指挥和协调全机的工作。通俗说,控制器的作用是解决全机在什么期间、根据什么条件、发出哪些微命令、做什么事。在这个描述中提出了计算机操作的时间条件、逻辑依据。

所以我们应该在CPU一级上建立整机的概念。CPU的逻辑组成应该包括运算器、运算控制器、存储器以及其它必要的逻辑部件,图2-1给出了简单CPU 的逻辑框图,现说明如下:

图2-1 简单处理器原理框图

运算器ALU和进位出发器C-FF

运算器是32位算术逻辑运算单元,它对两个32位二进制数(S)和(D)进行处理,进位输入端C0所加的是控制器送来的C1信号;运算结果的低32位直接输出,而运算结果的进位C4被传送到并寄存在进位出发器C-FF中。

运算控制器CON

控制器产生一系列正确的时序逻辑信号,控制器各组成部件协调一致的工作,实现两个32位操作数相乘运算。

数据缓冲寄存器MDR

这是一个容量为32×8的寄存器组,存放运算过程中读出和写入的数据。

寄存器RS

寄存器RS存放处理器的一个操作数(S)。

寄存器RD

寄存器RD存放处理器的另一个操作数(D)。

指令计数器PC

指令计数器PC存放下一条被执行指令的地址。

指令寄存器IRAM

指令寄存器IRAM存放用户程序的容量为32×8的RAM,它由开关S的状态控制指令的写入或读出操作。

指令寄存器IR

存放被执行指令的操作码可,直接供控制器判别决策。

运算结果显示器DLU和PC显示器

它们都是十六进制显示部件。

节拍发生器

产生处理器的时钟信号。SSC为单步/连续控制输入,控制节拍发生器输出是单脉冲或连续脉冲信号。

数据选择器MUX1

该部件实现对外部输入操作数和ALU输出数据两者之间的选择,并将选种数据送往数据缓冲寄存器MDR去。一组输入开关S31~S0是为提供外部输入操作数而设置的。

综上所述,处理器亦是由控制器和受其控制的电路两大部分所组成,将以自上而下的设计方法来设计这些电路。

三、模型机指令系统设计

(一)指令格式设计概述

计算机的工作,基本上体现为指令。一台计算机所有执行的全部指令,称为该机指令系统或称为指令集合。指令系统表明一台计算机具有哪些硬件功能,因而在很大程序上决定着如何使用计算机。CPU的基本任务是解释执行指令代码,所以指令系统又在很大程度上决定着计算机如何工作,它是硬件的逻辑设计基础,与CPU的总体结构及6设计思想密切相关。因此我们将指令系统视为CPU 的硬件与软件间的界面所在,即是设计CPU也是了解计算机的基本出发点。

一条指令一般应提供两方面的信息:一是指明操作的性质,即要求CPU做何种操作,有关代码称作操作码。二是给出与操作数有关的信息,如直接给出操作数本身或指明操作数的来源、运算结果存放在何处,以及下一条指令从何处取得等。由于在大多数情况下指令中是给出操作数的源地址,仅在个别情况下一直接给出操作数本身,所以第二部分往往称为操作码。操作码和地址码各由一定的二进制代码组成,它们的结构与组合形成构成了指令格式,最基本的指令格式表示为:

其中:Array操作码OP指出该指令执行什么性质的操作和具有何种功能。

地址码AD指出所在存储器或积存器的地址。

(二)指令格式结构设计

两操作数指令格式结构

在许多情况下,两个操作数运算后有一个不需要保留。例如两个数相乘时,部分积累加和代替原来的累加和,后者不需要保留;两数相除,被除数或原来的余数也总是被新的余数所代替,没有保留的必要。因此,可以将运算结果访在不需要保留的那个操作数的地址内。这样指令中又可以省去专门存放结果的地址

D3,使指令地址结构进一步简化。

功能:

(D1)OP(D2)→D1

(PC)+1→PC

D1指示的地址先放操作数,后放运算结果,所以称D1为目的操作数地址或结果操作数地址、终点操作数地址等。双操作数指令格式的优点是可以方便地简化为指令较短的单操作数指令(只有目的操作数)。

其具体的双操作数指令的格式如下:

31 26 24 1211 65

图3-1双操作数指令格式

第25位~31位表示操作码,第12~24位为源操作数地址段,地0~11位为目的操作数地址段。在每个地址段中又划分为两个部分,其中6位表明寻址方式类型,另外6位给出所指定的寄存器编号。

单操作数指令格式

地址指令有下列两种状态:

(1

功能

OP(D1)→D1

(PC)+1→PC

上式描述的操作是:按地址D1取出操作数,按操作码OP规定的操作进行运算,如加1、减1、求补等,再将运算结果存入原单元(即D1指定的)中。这种指令只对一个操作数进行运算处理,所以指令中可以只给出一个显地址。

(2)隐含约定目的地的双操作数指令

在许多机器特别是微型计算机中,常采用这种方法:由一个事先约定的寄存器提供目的操作数,运算结果也将存放于该寄存器中。这样的寄存器一般称为累加器,累加器号并不出现在指令中,是隐含约定的。于是双操作数指令也可以采用单地址指令格式。

目的操作数地址

功能:

(D1)OP(A)→A

(PC)+1→PC

上述地址描述的操作是:按地址D1取出的源操作数,从约定的累加器A中取出目的操作数,两数按操作码OP指定操作进行运算,结果存入累加器A。

由此可以得出单地址指令的具体格式如下:

31 25 24 1211 65 0

操作码扩展操作数寄存器号寻址方式

图3-2单操作数指令格式

第0位~第11位为目的字段,12位~24位为扩展操作码用,即向上兼容扩展。因此单操作数指令格式可视为双操作数指令格式的一种特例。

(3)跳转指令格式设计

零地址指令状态

在零地址指令状态中,只给出操作码,不给出任何显地址,所有地址均隐含约定。

对隐含指定的寄存器内容进行操作。如OP(A)→A

这是一条单地址指令,由隐含约定的累加器A提供操作数,结果放回累加器A中。指令中不出现寄存器号。

对堆栈(栈顶单元)内容进行操作,如 PUSH(压入堆栈)、POP(由堆栈弹出)。

由于堆栈结构中用堆栈指针寄存器SP 指示栈顶单元地址,且对堆栈操作一般是对栈顶单元进行,所以指令中只需给出堆栈操作的操作码,如压入、弹出,不必给出栈顶单元地址由SP 内容给出,压入时的操作数来自隐含约定的累加器A,弹出时操数存入累加器A。

跳转指令的具体格式如下:

31 25 24 1211 6 5 4

操作码寄存器号寻址方式……N Z V C

图3-3转移指令格式

31~25位操作码,第12~24位为给出的转移地址(也分为寻址方式与寄存器号两个部分),第0~11位微转移条件段(其中0~3位有一部分为1,表明转移条件——进位C、溢出V、结果为零Z,结果为负N。第5位为表明转移方式,若为0,表示相关标志为0转移;若为1,表示相关标志位为1转移。若0位~5为位全0,表示无条件转移。第6位~11位为扩展位,留以后使用。

(三)寻址方式结构设计

实验模型子算计的四种寻址方式

(1)寄存器(8位)寻址,以GR1,B表示,I=0,1,2,3。

(2)直接寻址。ADR表示内存直接地址,位11位二进制整数(0≤ADR≤2047)。

(3)变址寻址。(X)表示X地址中的内容,如果X是通用寄存器时,(X)是通用寄存器中的内容,其中 GR1,GR2,GR3兼作变址寄存器,以XRI表示:[ ]表示被包围的部分可以省略, XR省略时,表示不使用变地址寄存器。E表示有效地址。形成有效地址的规则是:

E=ADR[+(XR)] (mod 256)

(4)立即数寻址

实验模型计算机指令集中,取地址指令的E→GR和进栈指令的E→(SP)操作,若不把E视为地址,而视为操作数,其源寻址方式就是立即寻址,其功能分别是将立即数送往通用寄存器或压入堆栈栈顶。

指令系统设定

指令系统状态标志框图:

图3-4 系统标志位指令格式

处理器的控制器比较复杂,不仅因为它的输入变量和输出函数数目可观,而且由于它必须产生一系列控制时序,使三类指令得以正确运行。

控制器的功能是将指令码译成控制信号,并按操作次序发送到有关部件,指挥各部件执行指令规定的操作。在确定指令系统和数据通路的基础上,即可进行控制器的设计。控制器一般分为指令部件,地址部件,时序部件,操作控制部件和中断控制部件。为了降低实验模型计算机设计,实现的难度,可不设置中断控制部件。其微程序控制器的框图如图所示。下面分别对它的指令部件,地址部件,时序部件和操作控制部件进行分析。

(一)微程序控制器的指令部件

微程序控制器的指令部件中,指令寄存器,程序计数器和程序状态字寄存器,与硬连接控制器的这三个部分组成完全相同,其译码器合并在操作控制部件中讨论。

图4-1微程序控制原理图

微程序控制器的地址部件

为减少元器件,实验模型计算机不设置专门的地址运算器,而借用运算器中的算术逻辑部件,这与实验模型计算机硬件连线控制器的讨论完全相同。地址部件主要考虑如下问题:

寄存器寻址,内存直接寻址和变址寻址

地址部件的这部分内容,主要在于通用寄存器读地址的传送控制条件。在硬连线控制器中,用周期状态IXT=1或者=0来区分,是作为XR还是作为GR0在微程序控制器中,不设置周期状态,只需要安排不同的微命令来加以控制。具体可用(PC)+ 1 →PC和I判断这个微命令。

堆栈寻址

实验模型计算机采用味程序控制方法,目的在于以较少的元器件实现一个较完备的指令集。因为指令集合中有堆栈操作指令,子程序调用和返回指令,而子程序调用和返回指令要用到堆栈,所以实验模型计算机必须设置堆栈指针寄存器SP中,实验模型计算机不设置地址部件专用的运算器,而堆栈寄存器SP 中,地址的增减需要使用运算器;用一个通用寄存器来做堆栈指针寄存器SP,是本来就少的通用寄存器更不敷用,况且在通用寄存器的地址连接时,又要考虑GR3的特殊性。为避免这些麻烦,推荐使用8位二进制加/减计数器74779,其控制信号为加计数、减计数、置入。

微程序控制器自身的控制信号

综合对指令部件和地址部件的讨论,微程序控制器自身需要的操作控制信号(时序控制信号在时序部件中讨论)如下表所示。

课程设计中将要设计和实现的事完全指令集、用微程序方式组成控制器的实验模型机。其时序部件中的脉冲源与基本部件实验的硬连线控制器要求完全相同。但是,启停逻辑电路需要增加一个“单微指令”的控制开关;节拍电位和工作脉冲发生器部分则有所不同,在微程序控制器中,不再划分机器周期状态,而是以执行一条微程序的需要,确定微周期时间,其中包括取出微指令和执行微指令两部分时间。若微指令长度为n个字节,存放在按字节寻址的控制存储器芯片中,取一条伪指令需要分n次进行;执行一条微指令仍用两个节拍。

控制存储器结构

微指令一般都较长,控制存储器的字长往往不能正好满足。为了解决这个问题,可用数据快\只读存储器芯片进行字扩充如图示;也可将一条指令分成数段,重新开始一次新的循环。

微指令的读取控制

将微指令从控制存储器中读出,并置入微指令寄存器的控制信号,直接由时序部件产生:m4—m7分四次将微指令的四个字节,置入微指令寄存器μIR的四个8位寄存器;每取一个字节的微指令,微程序计数器μPC+1,微程序计数器加1的信号用m3控制。

在图纸设计阶段,应尽可能地使设计的逻辑图正确,将可能发生的错误,在图纸设计阶段予以排除。排除错误的方法有两个:一是根据理论进行分析;二是使用EDA仿真。课程设计各个设计步骤,并非能一次顺利完成,往往需要多次反复,进行修改、权衡,在基本满足指令系统功能要求的前提下,尽可能减少元器件的使用数量,尽量简化逻辑电路,以保证实验模型计算机的实现。

五、总结

这次设计是对《计算机组成原理》课程的再一次深入学习,建立了完整的计算机系统整机概念。这次设计与以往的课程设计相比涉及的面更广,要求也远远高于以前的要求。尤其是在设计中用到的一些最新的技术知识也是对我们的一个挑战。

通过本次设计,我们对自己在此次涉及到的知识有了进一步的理解,也使我有了一次很难得的理论联系实际的机会。通过此次设计,使我深深感到只有将理论与实践相结合,才能让我们对所学的知识由感性理解上升到理性升华。尤其对我们计算机专业的学生来说,加强实际动手能力的培养更是将来步入社会,为社会所接受的必要的能力。

参考文献:

[1]张庸一.计算机组成原理实验及课程设计指导[M].重庆大学出版社1996

[2]薛胜军.计算机组成原理[M].华中理工大学出版社1998

[3] 赵志英.计算机组成原理实验[M].复旦大学出版社2001

[4] 李勇.计算机组成原理设计[M].国防科技大出版社1985

[5] 钟友鹏.计算机课程设计指导[M].江苏科学技术出版社1999

学年论文(设计)成绩表

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

计算机组成原理模型计算机设计实验报告

洛阳理工学院实验报告 系别 计算机与信息工程学院 班级 学号 姓名 课程名称 计算机组成与系统结构 实验日期 实验名称 实验八 模型计算机设计 成绩 实验条件: 1、 DJ-CPTH 超强型组成原理实验箱 2、 PC 机一台 实验要求: 设计模型计算机,并利用CPTH 实验仪的上位机软件控制该模型计算机,实现模型机的数据传送功能。 实验目的: 1、掌握微程序控制模型计算机的基本工作原理和设计方法。 2、掌握微程序存储器uM 的控制方法和上位机软件的使用方法。 实验步骤: (1)运行CPTH ,新建文件。 (2)录入下表中第3列,保存为EX1.ASM 。 (3)单击菜单“汇编”的“汇编下载”。 (4)按“单步”运行,观察A /R0/ R1的变化,记录变化情况。 (5)按“复位”。 (6)按“单步”运行,打开“跟踪”标签,观察微程序和微地址变化,按下表记录变化情况,并填写每一步的功能。 程序地址 机器码 机器指令 功能 00 01 7C 12 mov a,#12h 传送12H 到A 02 80 mov r0,a 传送12到R0 03 81 mov r1,a 传送12到R1 04 05 7C 1E mov a,#30 传送30到A End

状态PC EM IR 微程序功能 T0 01 7C 7C CBFFFF 取出00号单元指令,打入IR T1 01 7C 7C C7FFF7 传送12H到A T0 03 80 80 CBFFFF 取出02号单元指令,打入IR T1 03 80 80 FFFB9F 传送12H到R0 T0 04 81 81 CBFFFF 取出03号单元指令,打入IR T1 04 81 81 FFFB9F 传送12H到R1 T0 05 7C 7C CBFFFF 取出04号单元指令,打入IR T1 05 7C 7C C7FFF7 传送30到A T0 07 00 00 CBFFFF 取出结束指令,同时结束运行实验过程如图所示:

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/c811632330.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

模型计算机系统的设计与实现

题目:模型计算机系统的设计与实现学生姓名: 学院: 班级: 指导教师: 2010年1 月8 日

内蒙古工业大学课程设计(论文)任务书 课程名称:计算机组成与结构课程设计学院:信息工程学院班级:计07-_3班__ 学生姓名:武宝全 _ 学号: 200710210023 指导教师:董志学王晓荣邢红梅

摘要 本次课程设计要求设计实现一个简单8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。通过自己定义的一套指令系统,主要实现算术A加B,A+/B运算,逻辑A·B,置B运算,输入指令,输出指令和存储器存数指令。由微程序控制器按照微指令格式给出下地址,并将结果存入存储器。用Protel电路设计软件画出所设计的模型机系统的电路原理图,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路。用可编程器件EPM7123实现运算器,并借助MAXPLUSII软件实现其功能。在QDKJ-CMH-CPLD试验平台上调试并进行验证。 关键字:微程序、控制器、存储器、

引言 通过俩周的组成与结构设计,设计一个8位模型计算机系统,包括用可编程器件实现的运算器,微程序控制器,存储器,简单输入/输出接口和设备,时序和启停控制等电路。设计工作是在之前的验证实验基础之上完成的,通过自己的思维,实现微程序机的一些基本的逻辑运算。根据现有的二进制指令系统,条件为模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯。在现有的芯片内烧制自行设计的微指令,达到在输入一个数据后自加,减一,实现自行跳转。 在设计完成后,再输入数据04后得出07的结果,并实现跳转。

组成原理课程设计-设计一台模型计算机

计算机组成原理课程设计 一、基本要求:设计一台模型计算机 具体内容: 1. 数据格式和指令系统 2. 数据通路 3. 时序系统 4. 微指令格式 5. 微程序控制器 6. 微程序流程图 7. 微程序代码表 二、模型机设计 1. 数据格式和指令系统的约定 1) 数据格式 模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下: 7 6 5 4 3 2 1 0 其中: 第7位为符号位,数值表示范围是:-1≤X<1。 2) 指令系统 模型机设计四大类指令共16条,其中包括算术逻辑指令(9条)、I/O指令(4条)、访内及转移指令(2条)和停机指令(1条)。 因为指令系统共16条指令,所以操作码是4位。 由于模型机机器字长为8位,故设计单字长指令和双字长指令供使用。 (1) 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 7 6 5 4 3 2 1 0 其中,OP-CODE为操作码,Rs为源寄存器,Rd为目的寄存器,并规定:9条算术逻辑指令的名称、功能和具体格式。

(2) 访内指令及转移指令 模型机设计2条访问指令,即存数(STA)、取数(LDA),2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC),指令格式为: 7 6 5 4 3 2 1 0 其中,OP-CODE为操作码,Rd为目的寄存器,D为位移量(正负均可),X为寻址方式,其定义如下: (3) 输入输出指令 格式如下: 7 6 5 4 3 2 1 0 其中,addr=01 时,选中“INPUT DEVICE”中的开关组作为入设备,addr=10时,选中“OUTPUT DEVICE”中的数码快作为输出设备。 (4) 停机指令 格式如下: 7 6 5 4 3 2 1 0 这类指令只有一条,即停机指令HALT (5) 模型机指令系统

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

模型计算机的设计论文讲解

目录 1模型机设计方案及步骤 (2) 1.1设计方案 (2) 2指令格式 (4) 2.1指令格式 (4) 2.2指令集 (5) 3数据通路 (6) 4指令操作流程 (6) 5微操作控制信号的逻辑表达式 (14) 6微信号简化 (18) 7微信号电路图 (18) 8模型机微控制信号连线图 (19) 9总结与体会 (20) 10附录 (21)

模型计算机的设计 1模型机设计方案及步骤 1.1设计方案 本次课程设计主要任务是设计一模型计算机并利用组合逻辑控制器或微程序进行设计,且至少实现4条CPU指令(从ADD,SUB,XOR,AND,OR中选择)。 在此我选择使用组合逻辑控制器(硬连线)方式设计,并实现ADD,SUB,AND,OR四条指令。 四条指令意义如下: ADD数学计算两个数的和 SUB数学计算两个数的差 AND逻辑运算两个数的并 OR逻辑运算两个数的或 对于以上设计的理由如下: 1.对于方式的选取我们选取硬连线实现,因为此次我们设计的模

型机较为简单,而且采用元件较少,我们采用硬连线可以使用最少的元件从而取得较高的操作速度。 2.对于字长的选取,多方面考虑后,基于资源和设计的需要可以采用八位字长。由于共有四条指令,为了满足选取所以选取其中2位作为OP操作,由于在对数的操作中不仅涉及到对数据的存储还会牵连到指令的操作,在此指令的操作有四条指令所以必须有四个储存单元,加上存储数据的一个单元,一共需要五个单元,再由于计算机存储字长值的限制,选不出5个存储单元的字长。所以至少为3位,其中给出的是8个存储单元,对于寄存器,只有一个数据需要存储,所以1位就行了,但是考虑到计算机存储的限制,所以我们可以把后3位扩展给它。所以共有8位。其中3位表示内存单元地址,用3位表示寄存器编号。加上两个必须的寄存器,其中寄存器有R0、R1、PC、IR。 3.对于内存的选取我们在实际过程中买不到这么小的内存,所以实际操作中可以将前几位置零(接地),用后几位表示即可。 最终根据以上理由我们规定它有8位的数据线D[7:0],执行4条指令,每条指令的长度为1byte,因而它有6位的地址线A[5:0] 。它可以存取的存储器为64byte(1byte=8bits) 我们为该CPU定义以下寄存器:

模型计算机设计方案的制定

一、设计任务与要求: 任务: 1.设计一个8位模型计算机系统,包括运算器,微程序控制器,存储器、简单输入/输出设备、时序和启停等电路; 2.画出系统组成框图,指出各个部分的功能和实现途径; 3.针对所设计的模型机系统,每组学生自己定义一套简单的指令系统,给出助记符指令格式,分配指令的机器代码,指出指令的功能; 4.写出设计方案。 要求: 1.所设计的模型计算机系统为8位模型机,运算器为8位运算器,数据总线和地址总线都为8位,输入设备为8位开关,输出设备为8位发光二级管指示灯; 2.每组定义的指令系统为4至8条指令,必须包含本组运算器特有运算功能的指令,每组必须有两条以上指令与其他组不同,经老师审核后确定; 3.每组制定一份设计方案。 二、系统组成框图及各部分的功能和实现途径: (一)、系统的总体结构框图: 图1 总体结构框图 (二)各个部分的功能和实现途径: 1、收发器74LS245 8一位 无反相输出 三态输入

2.、D型触发器74LS273 8一位 清零输入 Q0=在时钟脉冲上升沿之前QT的输出3、算数逻辑单元功能发生器74LS181 4一位 16一功能 逐位进位输出

4.、2K CMOS静态随机存贮器RAM(2048×8)6116 5、D型触发器74LS374 8一位 透明的 无反相 3一态输出 6、累加器74LS163 4一位二器异步清零输入 上计数器可计数输入 置位输入行波进位输出 异步计数

三、简单指令系统: (1)IN A,DATA。指令码10,A指R0,DATA指SW7-SW0上的数据输入到R0寄存器。是输入指令。(2)ADD A,B。指令码20 ,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相加,结果送R0,是加法指令。 (3)SUB A,B。指令码30,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相减,结果送R0,是减法指令。 (4) OPP A,(OPP)。指令码40 OPP,A指R0,OPP为贮存器地址。将R0寄存器的内容与内存中以OPP为地址单元内的数相乘,其中这个数为-1,目的是求相反数,是求相反数指令。 (5)MUL A,B。指令码50,A指R0,B指R1,将R0寄存器的内容与R1寄存器的内容相乘,结果送R0,是乘法指令。 (6)STA(STA),A。指令码60 STA,A指R0,STA为存贮器地址。将R0寄存器的内容存到以STA 为地址的内存单元中。 (7)OUT BUS,(STA)。指令码70(STA),BUS为数据总线,STA为存贮器地址。将内存中以STA 为地址的数据读到总线上。 (8)JMP OPP。指令码80 。OPP指存贮器地址。程序无条件地转移到OPP(可以是存贮器中不同的地址)所指定的内存单元地址。 其中实现求相反数指令后,可以根据求出的相反数实现减法运算;将求相反数指令中的(OPP)换做寄存器R1加以修改可以实现乘法运算。本次实验的主要目的是实现两个数的相加以及实现求一个数的相反数。 (注:文件素材和资料部分来自网络,供参考。请预览后才下载,期待你的好评与关注。)

8位模型计算机的设计

石家庄经济学院 华信学院 计算机组成原理课程设计报告 题目 8位模型计算机的设计 姓名 学号 班号 4064170801 指导老师关文革尹立洁赵洋 成绩 2009年1月 目录 1. 课程设计目的 52 2. 开发工具选择 52 3. 方案选择 52 4.指令系统设计 52 5. 模型机框图设计 52 6. 指令流程图 52 7. 指令操作时间表(组合逻辑控制器)或者微指令格式(微程序控制器)设计 52 8. 微操作信号综合与优化(组合逻辑控制器)或者微程序(微程序控制器)设计 52 9. VHDL实现 52 10. 调试仿真 52 11. 课程设计回顾总结 52 参考文献 52 附录 52 一、课程设计目的 1、计算机组成原理课程设计的主要任务是让学生通过动脑和动手解决计算机设计中的实际问题。综合运用所学计算机组成原理知识,在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本的模型计算机,掌握整机概念,并设计机器指令系统,编写程序,在所设计的模型计算机上调试运行。

2、通过一台模型机的设计过程,明确计算机的控制原理与控制过程,巩固和灵活应用所学的理论知识,掌握计算机组成的一般设计方法,提高学生设计能力和实践操作技能,为从事计算机研制与设计打下基础。 二、开发工具选择 以TEC-CA教学实验系统为平台,采用硬件描述语言 VHDL为设计工具,应用QUARTUSⅡ5.1环境进行大规模集成电路的功能设计仿真。 三、方案选择 应用微程序控制器来实现8位模型计算机的设计。 四、指令系统设计 所要设计的微程序控制器是由七条指令来完成的,即:load,sta,add,sub,and1,nop,jmp。实现功能分别如下:load指令是公操作,实现取数据的功能;sta指令实现存操作;add指令实现加法操作;sub指令实现减法操作;and指令实现与操作; nop指令实现空操作;jmp指令实现无条件跳转操作。 其中add,sub,and1,属于双操作数指令,其指令格式如下图: 目的 源 其中jmp属于转移指令,其指令格式如下图: 转移条件 转移地址 五、模型机框图设计 I/O 六、指令流程图 Addr_bus load_MAR CS R_NW 3 OP

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

一台模型计算机的设计与调试

课程设计题目:一台模型计算机的设计与调试 一.设计目的: 1、融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间-空间”概念的理解,从而清晰地建立计算机的整机概念。 2、学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力,取得工程设计和调试的实践和经验。 二.设计任务: 1、根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机。 2、根据设计图,在QUARTUS II环境下仿真调试成功。 3、在调试成功的基础上,整理出设计图纸和相关文件,包括: (1)总框图(数据通路); (2)微程序控制器逻辑图; (3)微程序流程图; (4)微程序代码表; (5)设计说明书; (6)工作小结。 三.设计的数据格式和指令系统: 1、数据格式 数据字规定采用定点整数补码表示法,字长8位,其中最高位为符号位,其格式如下: 2、指令格式 本实验设计使用5条机器指令,其格式与功能说明如下: IN ADD STA OUT JMP 说明:IN指令功能是将数据开关的8位数据输入到R0寄存器。 ADD指令功能是将R0寄存器的内容与内存中地址为A的数相加,结果存放在R0寄存器中。STA指令功能是将R0寄存器中的内容存储到以第二个字为地址的内存单元中。 OUT指令功能是将内存中以第二个字为地址的内存单元中的数据读出到数据总线,并显示。JMP指令功能是程序无条件转移到第二个字指定的内存单元地址。 四、总体设计: 1、总体设计的主要任务是选定所用器件,设计指令流和数据流的数据通路,根据指令系统的要求,总体设计的主要步骤如下: (1)对指令系统中的各条指令进行分析,得出所需要的占领周期与操作序列,以便确定各器件的类型和数量;

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

计算机组成原理课程设计——模型计算机的设计与实现

---------计算机组成原理课程设计 报告书 课题名模型计算机的设计与实现 班级 姓名 学号 指导教师 日期 2012.6.18~ 2012.6.21

一、设计目的 1、融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,经阿什计算机工作中“时间-空间”概念的理解,从而清晰地建立计算机的整机概念。 2、学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力,取得工程设计和调试的实践和经验。 二、设计内容 1、根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机。 2、根据设计图,在QUARTUS II环境下仿真调试成功。 3、在调试成功的基础上,整理出设计图纸和相关文件,包括: (1)总框图(数据通路图); (2)微程序控制器逻辑图; (3)微程序流程图; (4)微程序代码表; (5)设计说明书; (6)工作小结。 三、数据格式与指令系统 1、数据格式 数据字规定采用定点整数补码表示法,字长8位,其中最高位为符号位,其格式如下:7 6 5 4 3 2 1 符号位尾数

2、指令格式 本实验设计使用5条机器指令,其格式与功能说明如下: 7 6 5 4 3 2 1 0 IN 00 1 0 0 0 0 0 ADD 0 1 0 0 0 0 0 0 A STA 0 1 1 0 0 0 0 0 A OUT 1 0 0 0 0 0 0 0 A JMP 1 0 1 0 0 0 0 0 A IN指令为单字长(字长为8bits)指令,其功能是将数据开关的8位数据输入到R0寄存器。 ADD指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将R0寄存器的内容与内存中地址为A的数相加,结果存放在R0寄存器中。 STA指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将R0寄存器中的内容存储到以第二个字为地址的内存单元中。 OUT指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将内存中以第二个字为地址的内存单元中的数据读出到数据总线,显示之。 JMP指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是程序无条件转移到第二个字指定的内存单元地址。

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

计算机组成原理一台模型计算机的设计

计算机组成原理课程设计……一台模型计算机的设计 一、教学目的、任务与实验设备 1. 教学目的 (1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。 (2)学习设计与调试计算机的基本步骤与方法,提高使用软件仿真工具与集成电路的基本技能。 (3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践与经验。 2.设计与调试任务 (1)按给定的数据格式与指令系统,在所提供的器件范围内,设计一台微程序控制的模型计算机。 (2)根据设计图纸,在MAX+PLUS 平台上进行仿真,并下载到EL 教学实验箱上进行调试成功。 (3)在调试成功的基础上,整理出设计图纸与其她文件。包括:①总框图(数据通路图);②微程序控制器逻辑图;②微程序流程图;④微程序代码表;⑤元件排列图(或VHD 程序清单);⑥设计说明书;⑦调试小结。 2. 实验设备 (1) PC 机一台 (2) EL 教学实验箱 (3) MAX+PLUS Ⅱ配套软件 二、数据格式与指令系统 本模型机就是一个8位定点二进制计算机,具有四个通用寄存器:R 0~R 3,能执行11条指令,主存容量为256KB 。 1. 数据格式 数据按规定采用定点补码表示法,字长为8位,其中最高位(第7位)为符号位,小数点位置定在符号位后面,其格式如下: 2. 指令格式及功能 由于本模型机机器字只有8位二进制长度,故使用单字长指令与双字长指令。 ⑴ LDR Ri,D 格式功能: Ri ←M(D) (2) STR Ri,D 格式功能:

基本模型机的设计与实现

南京晓庄学院 信息工程学院 计算机组成原理课程 实 验 报 告 实验名称:基本模型机的设计与实现 年级专业班级:14 级计算机专业专本1班班级 学号:14131521 姓名:殷宇翔 学号:姓名: 学号:姓名: 时间:2016 年12 月10 日

一、实验目的、要求: 1、在掌握部件单元电路实验的基础上,进一步将其组成系统以构造一台基本模型实验计算机。 2、设计五条机器指令,并编写相应的微程序,具体上机调试,掌握整机软硬件组成概念。 二、实验仪器设备、器件及环境: 三、实验方法、原理: 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,实验计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 ⑴有关微控制器部分在前一实验中已详细介绍 ⑵主存储器的读、写和运行 为了向主存储器RAM中装入程序或数据,并且检查写入是否正确以及能运行主存储器中的程序,必须设计三个控制操作微程序。 ·存储器读操作:拨动总清开关后,置控制开关SWC、SWA为“0 0”时,按要求连线后,连续按“启动运行”开关,可对主存储器RAM连续手动读操作。 ·存储器写操作:拨动总清开关后,置控制开关SWC、SWA为“0 1”时,按要求连线后,再按“启动运行”开关,可对主存储器RAM进行连续手动写入。 ·运行程序:拨动总清开关后,置控制开关SWC、SWA为“1 1”时,按要求连线后,再按“启动运行”开关,即可转入到第01号“取址”微指令,启动程序

计算机组成原理生产实习内容(一)一台模型计算机的设计

附件1 计算机组成原理生产实习内容(一)一台模型计算机的设计 一、教学目的、任务与实验设备 1.教学目的 (1)融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间—空间”概念的理解,从而清晰地建立计算机的整机概念。 (2)学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。 (3)培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.设计与调试任务 (1)按给定的数据格式和指令系统,在所提供的器件范围内,设计一台微程序控制的模型计算机。 (2)根据设计图纸,在MAX+PLUS 平台上进行仿真,并下载到EL教学实验箱上进行调试成功。 (3)在调试成功的基础上,整理出设计图纸和其他文件。包括:①总框图(数据通路图);②微程序控制器逻辑图;②微程序流程图;④微程序代码表;⑤元件排列图(或VHD 程序清单);⑥设计说明书;⑦调试小结。 2.实验设备 (1)PC机一台 (2)EL教学实验箱 (3)MAX+PLUS Ⅱ配套软件 二、数据格式和指令系统 本模型机是一个8位定点二进制计算机,具有四个通用寄存器:R0~R3,能执行11条指令,主存容量为256KB。 1.数据格式 数据按规定采用定点补码表示法,字长为8位,其中最高位(第7位)为符号位,小数点位置定在符号位后面,其格式如下: 数值相对于十进制数的表示范围为: -1≤X≤1―2―7 2.指令格式及功能 由于本模型机机器字只有8位二进制长度,故使用单字长指令和双字长指令。 ⑴ LDR Ri,D 格式 7 4 3 2 1 0 功能:

七基本模型机的设计与实现

实验七基本模型机的设计与实现 一实验目的 (1) 在掌握部件单元电路实验的基础上,进一步将其组成系统,构造一台基本模型计 算机。 (2) 为其定义五条机器指令,并编写相应的微程序,具体上机调试掌握整机概念 二实验设备 TDN—CM++计算机组成原理教学实验系统一台,排线若干。 三实验内容 1) 实验原理 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序 控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制 将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周 期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入),ADD(二进制加法),STA(存数),OUT(输出),JMP(无条件转移)。其指令格式如下(前4位为操作码): 助记符机器指令码说明 IN 00000000 “INPUT DEVICE”中的开关状态→R0 ADD addr 0001 0000 ××××××××R0+[addr] →R0 STA addr 0010 0000 ××××××××R0 →[addr] OUT addr 0011 0000 ××××××××[addr] →LED JMP addr 0100 0000 ××××××××addr →PC 其中::IN为单字长(8位),其余为双字长指令,××××××××为addr对应的二进制地址码。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计 三个控制台操作微程序。 * 存储器读操作(KRD):拨动总清开关CLR后,控制台开关SWB、SWA为“0 0”时,按START微动开关,可对RAM连续手动读操作。 * 存储器写操作(KWE):拨动总清开关CLR后,控制台开关SWB、SWA置为“0 1”时,按START微动开关可对RAM进行连续手动写入。 * 启动程序:拨动总清开关CLR后,控制台开关SWB、SWA置为“1 1”时,按START 微动开关,即可转入到第01号“取址”微指令,启动程序运行。 上述三条控制台指令用两个开关SWB,SWA的状态来设置,其定义如表4所示。 表4 控制台的开关设置 SWB SWA 控制台指令 0 0 1 0 1 1 读内存(KRD) 写内存(KWE) 启动程序(RP) 根据以上要求,可设计数据通路框图,如图19所示。微指令定义如表4所示。 系统涉及到的微程序流程如图21所示。当拟定“取指”微指令时,该微指令的判别测 试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1) 的测试结果出现多路分支。本机用指令寄存器的前4位(IR7一IR4)作为测试条件,出现5路分支,占用5个固定微地址单元。 控制台操作为P(4)测试,它以控制台开关SWB,SWA作为测试条件,出现了3路分支,

相关主题
文本预览
相关文档 最新文档