当前位置:文档之家› 频率测量的两种方法及等精度测量原理及实现

频率测量的两种方法及等精度测量原理及实现

频率测量的两种方法及等精度测量原理及实现

频率测量的两种方法及等精度测量原理及实现

频率测量在电子设计和测量领域中经常用到,因此对频率测量方法的研究在实际工程应用中具有重要意义。常用的频率测量方法有两种:频率测量法和周期测量法。频率测量法是在时间t内对被测信号的脉冲数N进行计数,然后求出单位时间内的脉冲数,即为被测信号的频率。周期测量法是先测量出被测信号的周期T,然后根据频率f=1/T 求出被测信号的频率。但是上述两种方法都会产生±1个被测脉冲的误差,在实际应用中有一定的局限性。根据测量原理,很容易发现频率测量法适合于高频信号测量,周期测量法适合于低频信号测量,但二者都不能兼顾高低频率同样精度的测量要求。

1 等精度测量原理等精度测量的一个最大特点是测量的实际门控时间不是一个固定值,而是一个与被测信号有关的值,刚好是被测信号的整数倍。在计数允许时间内,同时对标准信号和被测信号进行计数,再通过数学公式推导得到被测信号的频率。由于门控信号是被测信号的整数倍,就消除了对被测信号产生的±l周期误差,但是会产生对标准信号±1周期的误差。等精度测量原理如图1所示。

从以上叙述的等精度的测量原理可以很容易得出如下结论:首先,被测信号频率fx的相对误差与被测信号的频率无关;其次,增大测量时间段“软件闸门”或提高“标频”f0,可以减小相对误差,提高测量精度;最后,由于一般提供标准频率f0的石英晶振稳定性很高,所以标准信号的相对误差很小,可忽略。假设标准信号的频率为100 MHz,只要实际闸门时间大于或等于1s,就可使测量的最大相对误差小于或等于10-8,即精度达到1/100 MHz。

2 等精度测频的实现等精度测量的核心思想在于如何保证在实际测量门闸内被测信号为整数个周期,这就需要在设计中让实际测量门闸信号与被测信号建立一定的关系。基于这种思想,设计中以被测信号的上升沿作为开启门闸和关闭门闸的驱动信号,只有在被测信号的上升沿才将图1中预置的“软件闸门”的状态锁存,因此在“实际闸门”Tx内被测信号的个数就能保证整数个周期,这样就避免普通测量方法中被测信号的±1的误差,

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

数字频率计测频率与测周期的基本原理

了解数字频率计测频率与测周期的基本原理;熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。 [重点与难点] 重点:数字频率计的组成框图和波形图。 难点:时基电路和逻辑控制电路。 [理论内容] 一、数字频率计测频率的基本原理 所谓频率,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数为N,则其频率可表示为 f=N/T (1) 二、数字频率计的主要技术指标 1、频率准确度 2、频率测量范围 在输入电压符合规定要求值时,能够正常进行测量的频率区间称为频率测量范围。频率测量范围主要由放大整形电路的频率响应决定。 3、数字显示位数

频率计的数字显示位数决定了频率计的分辨率。位数越多,分辨率越高。 4、测量时间 频率计完成一次测量所需要的时间,包括准备、计数、锁存和复位时间。 三、数字频率计的电路设计与调试 1.基本电路设计 数字频率计的基本框图如图2所示,各部分作用如下。 ①放大整形电路 放大整形电路由晶体管3DG100与74LS00等组成。其中3DGl00组成放大器将输入频率为的周期信号如正弦波、三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 实验五数字频率计 实验目的 1. 了解数字频率计测量频率与测量周期的基本原理; 2. 熟练掌握数字频率计的设计与调试方法及减小测量误差的方法。实验任务

用中小规模集成电路设计一台简易的数字频率计,频率显示为四位,显示量程为四挡, 用数码管显示。1HZ—9.999KHZ ,闸门时间为1S ; 10HZ—99.99KHZ, 闸门时间为0.1S ; 100HZ—999.9KHZ, 闸门时间为10MS ; 1KHZ—9999KHZ, 闸门时间为1MS ; 实验五数字频率计 实验原理 1. 方案设计 原理框图见图1: 原理简述 所谓频率,就是周期性信号在单位时间(1s) 内变化的次数.若

高精度时间间隔测量方法

高精度时间间隔测量方法综述 孙杰潘继飞 (解放军电子工程学院,安徽合肥,230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题。在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果。文章的最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time-Interval Measurement SUN Jie , PAN Ji-fei (Electronic Engineering Institute of PLA, HeFei 230037, China) Abstract: Technology of time-interval measurement has been applied in many fields. How to improve its precision is an emergent question. On the bases of analyzing electronic counter’s principle and error, this paper puts emphasis upon introducing high precision time-interval measurements all over the world. All these methods aim at electronic counter’s principle error, and obtain special effect. Lastly, the progress direction and application foreground of high precision time-interval measurement methods are predicted. Key Words: time interval; principle error; interpolating; time-to-digital conversion; time-to-amplitude conversion 0引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1所示:

PIC单片机等精度测量频率法

PIC单片机等精度测量频率法 单片机测量频率,是一个比较常见但又不好解决的问题。主要是测量频率是高端和低端不能兼顾的问题。测量频率无非两种方法: 1.在一段固定的时间及脉冲的个数,然后除时间得到频率。 2.测量脉宽计算频率。 第一种方法,适合测频率在1KHz以上的脉冲信号。因为要保证测量精度在0.1%,至少要保证脉冲个数在1000个,假设测10HZ左右的信号,则一个测试周期需要100秒,这显然不是我们所能接受的。 第二种方法,适合测频率小于1KHz的信号。因为要保证测量精度在0.1%,在一个脉冲宽度(1ms)内,作为时标的定时器至少要走1000个数(此时PIC 的晶振理论上至少也要4MHz)。事实上,想利用上述两种方法精确测量宽范围的频率,几乎是不可能的。PIC系列特有的平级中断,也使其测量频率比51系列测量困难。 等精度数字测频基本框图 等精度数字测频基本框图如下 fx 图中的闸门G1、G2分别用来控制输入信号周期计数和闸门时间宽度计时。其中,G1与输入信号同步,这样可使计数器N1的量化误差dN1=0。计数器N2对标准时标信号Tc进行计数,并以此来测量实际的闸门宽度Tg,则输入信号的频率可表示为: fx=N1/Tg=N1/(Tc*N2) 标准时标信号采用单片机自身的工作时钟,可看作常数。因此fx的相对误差为: dfx/fx=dN1/N1-dN2/N2=-dN2/N2 式中,dN2为计数器N2产生的量化误差,最大为±1个Tc,在实际设计中,选择适当的时标Tc和闸门宽度Tg可是N2始终足够大,以次在fx的全频段范围内得到足够高的精度。 等精度测量法的实质就是一种变相的测脉宽法。 在实际使用时(PIC为例),可将Timer0作计数器N1,Timer1作计数器N2,

遥感原理与应用知识点

第一章 1、遥感的定义:通过不接触被探测的目标,利用传感器获取目标数据,通过对数据进行分析,获取被探测目标、区域和现象的有用信息 2、广义的遥感:在不直接接触的情况下,对目标物或自然现象远距离感知的一种探测技术。 3、狭义的遥感:指在高空和外层空间的各种平台上,应用各种传感器(摄影仪、扫描仪和雷达等)获取地表的信息,通过数据的传输和处理,从而实现研究地面物体形状、大小、位置、性质以及环境的相互关系。 4、探测依据:目标物与电磁波的相互作用,构成了目标物的电磁波特性。(信息被探测的依据)传感器能收集地表信息,因为地表任何物体表面都辐射电磁波,同时也反射入照的电磁波。地表任何物体表面,随其材料、结构、物理/化学特性,呈现自己的波谱辐射亮度。 5、遥感的特点:1)手段多,获取的信息量大。波段的延长(可见光、红外、微波)使对地球的观测走向了全天候全天时。 2)宏观性,综合性。覆盖范围大,信息丰富,一景TM影像185×185km2,可见的,潜在的各类地表景观信息。 3)时间周期短。重复探测,有利于进行动态分析 6、遥感数据处理过程 7、遥感系统:1)被探测目标携带信息 2)电磁波辐射信息的获取 3)信息的传输和记录 4)信息的处理和应用 第三章 1、电磁波的概念:在真空或物质中电场和磁场的相互振荡以及振动而进行传输的能量波。 2、电磁波特征(特征及体现):1)波动性:电磁辐射以波动的形式在空间中传播 2)粒子性:以电磁波形式传播出去的能量为辐射能,其传播也表现为光子组成的粒子流的运动 紫外线、X射线、γ射线——粒子性 可见光、红外线——波动性、粒子性 微波、无线电波——波动性 3、叠加原理:当空间同时存在由两个或两个以上的波源产生的波时,每个波并不因其他的波的存在而改变其传播规律,仍保持原有的频率(或波长)和振动方向,按照自己的传播方向继续前进,而空间相遇的点的振动的物理量,则等于各个独立波在该点激起的振动的物理量之和。 4、相干性与非相干性:由叠加原理可知,当两列频率、振动方向相同,相位相同或相位差恒定的电磁波叠加时,在空间会出现某些地方的振动始终加强,另一些地方的振动始终减弱或完全抵消,这种现象叫电磁波的相干性。没有固定相位关系的两列电磁波叠加时,没有一定的规律可循,这种现象叫电磁波的非相干性

全国大学生电子设计大赛题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品 设计报告部分错误未修正,软 件部分未添加 竞赛选题:数字频率计(F 题)

摘要 本设计选用FPGA 作为数据处理与系统控制的核心,制作了一款超高精度的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法,全部电路使用PCB 制版,进一步减小误差。 AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度,且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率范围广的问题。频率等参数的测量采用闸门时间为1s 的等精度测量法。闸门时间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了系统精度。 经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指标上远超赛题发挥部分要求。 关键词:FPGA 自动增益控制等精度测量法

目录

1. 系统方案 1.1. 方案比较与选择 宽带通道放大器 方案一:OPA690 固定增益直接放大。由于待测信号频率范围广,电压范围大,所以选用宽带运算放大器OPA690,5V 双电源供电,对所有待测信号进行较大倍数的固定增益。对于输入的正弦波信号,经过OPA690 的固定增益,小信号得到放大,大信号削顶失真,所以均可达到后级滞回比较器电路的窗口电压。 方案二:基于VCA810 的自动增益控制(AGC)。AGC 电路实时调整高带宽压控运算放大器VCA810 的增益控制电压,通过负反馈使得放大后的信号幅度基本保持恒定。 尽管方案一中的OPA690 是高速放大器,但是单级增益仅能满足本题基本部分的要求,而在放大高频段的小信号时,增益带宽积的限制使得该方案无法达到发挥部分在频率和幅度上的要求。 方案二中采用VCA810 与OPA690 级联放大,并通过外围负反馈电路实现自动增益控制。该方案不仅能够实现稳定可调的输出电压,而且可以解决高频小信号单级放大时的带宽问题。因此,采用基于VCA810 的自动增益控制方案。 正弦波整形电路 方案一:采用分立器件搭建整形电路。由于分立器件电路存在着结构复杂、设计难度大等诸多缺点,因此不采用该方案。 方案二:采用集成比较器运放。常用的电压比较器运放LM339 的响应时间为1300ns,远远无法达到发挥部分100MHz 的频率要求。因此,采用响应时间为4.5ns 的高速比较器运放TLV3501。 主控电路 方案一:采用诸如MSP430、STM32 等传统单片机作为主控芯片。单片机在现实中与FPGA 连接,建立并口通信,完成命令与数据的传输。 方案二:在FPGA 内部利用逻辑单元搭建片内单片机Avalon,在片内将单片机和测量参数的数字电路系统连接,不连接外部接线。 在硬件电路上,用FPGA 片内单片机,除了输入和输出显示等少数电路外,其它大部分电路都可以集成在一片FPGA 芯片中,大大降低了电路的复杂程度、减小了体积、电路工作也更加可靠和稳定,速度也大为提高。且在数据传输上方便、简单,因此主控电路的选择采用方案二。

计算机毕业论文_基于FPGA的等精度频率计的设计与实现

目录 前言...............................................................1 第一章 FPGA及Verilog HDL..........................................2 1.1 FPGA简介.....................................................2 1.2 Verilog HDL 概述.............................................2 第二章数字频率计的设计原理........................................3 2.1 设计要求.....................................................3 2.2 频率测量.....................................................3 2.3.系统的硬件框架设计..............................................4 2.4系统设计与方案论证............................................5 第三章数字频率计的设计............................................8 3.1系统设计顶层电路原理图........................................8 3.2频率计的VHDL设计.............................................9 第四章软件的测试...............................................15 4.1测试的环境——MAX+plusII.....................................15 4.2调试和器件编程...............................................15 4.3频率测试.....................................................16

等精度频率的测量设计报告

等精度频率的测量设计报告 报告人:朱伯程(074100138)周哲远(074100137) 报告摘要:本文介绍了一种同步测周期计数器的设计,并基于该计数器设计了一个高精度的数字频率计。文中给出了计数器的VHDL编码,并对频率计的FPGA实现进行了 仿真验证,给出了测试结果。同时在分析了等精度测频在实现时存在的问题的基 础上,介绍了一种基于自适应分频法的频率测量技术,可达到简化测量电路、提高 系统可靠性、实现高精度和宽范围测量的目的 关键词:频率计VHDL FPGA 周期测量等精度自适应分频 一、实验原理 1.频率测量的几种方法: 工程上测量频率和周期的方法一般可以分为无源测频法、有源比较法、电子计数器3种。无源测频法又可分为谐振法和电桥法,常用于频率粗测,精度在1%左右。有源比较法可分为拍频法和差频法,前者是利用信号线性叠加,产生拍频现象,通过检测零差后现象测频,常用于低频测量,误差在零点几赫;后者是利用两个信号非线性叠加,产生差频现象,通过检测零差现象测频,常用于高频测量,误差为士20Hz左右。可见,以上在测量范围和精度上都难以达到要求。 电子计数器的测频原理实质上以比较法为基础,它将被测信号频率人与时基信号频率相比,两个频率相比得到的结果以数字的形式显示出来。同时,它在测量范围和精度上都能达到要求。 2.等精度测频基本原理 等精度频率测量技术又叫做多周期同步测量技术,它主要由被测信号计数器、参考信号计数器、同步闸门控制器、采样时间控制器以及运算单元等组成,工作原理下图所示。 波形图解:

根据设计任务的要求,因此我们选择用等精度测量法进行系统设计。 二、实验任务与要求 (一)任务设计一个简易等精度频率计。 (二)要求 a.测量范围信号:方波幅度:TTL电平; 频率:1Hz~1MHzb.测试误差≤0.1%(全量程) * 闸门时间:~1s,响应时间:~2s乘除运算: 单片机、FPGA、计算器计算 三、系统总体方案设计 根据测频过程的思路,可编写相应的软件。测频程序流程图下图所示: 根据流程图与要求,本实验的需要注意的地方: 1.计数器的位数。由于要测量的频率范围为1Hz~1MHz。所以可以设置计数器位数为20位。对于基准信号的频率,选用1MHz的标准脉冲信号。 2.分频器。首先要进行2分频。(供粗测使用)。再进行任意分频,供精测使用。 3.锁码器。为的是稳定计数器的最后数据。 4.在第一次计数完成之后,要能自动对计数器进行清零。

遥感原理与应用

遥感原理与应用(高起专)2014年春季考试单选题 1. 可见光波谱波段范围位于_____。(5分) (A) 100~400nm (B) 400~700nm (C) 700~1000nm (D) 1000~1200nm 参考答案:B 2. 遥感图像统计分析通常包括计算图像的直方图、均值、方差、中值、陡度、峰态、相关系数矩阵和协方差矩阵等,其中用来描述整幅图像的灰度值分布的离散程度。(5分) (A) 均值 (B) 方差 (C) 中值 (D) 峰值 参考答案:B 3. 是基于可见光红光波段(R)与近红外波段(NIR)对绿色植物的响应的反差,用两者简单的比值来表达其反射率的差异的植被指数。(5分) (A) 比值植被指数(RVI) (B) 归一化差值植被指数 (C) 绿度植被指数 (D) 垂直植被指数 参考答案:A 4. 机载LIDAR系统,也称机载激光扫描测图系统,是一种的现代光学遥感系统,能直接获得高精度三维地表地形数据,是对传统摄影测量技术在高程数据获取及自动化快速处理方面的主要补充。(5分) (A) 被动式 (B) 主动式 (C) 分幅式 参考答案:B 填空题 5. 介质的______ 、散射系数、______ 、光衰减系数等参数为固有光学特性。(10分) (1). 参考答案: 吸收系数 (2). 参考答案: 散射相函数 6. 目前,消除条带噪音常用的方法有:______ 、直方图匹配法、______ 。(10分)

(1). 参考答案: 矩匹配法 (2). 参考答案: 均匀区法 7. 航片的内方位元素有______ ,像主点坐标y0和______ 。(10分) (1). 参考答案: 像主点坐标x0 (2). 参考答案: 焦距f 问答题 8. 写出监督分类中训练数据选择的步骤。(10分) 参考答案:(1)收集信息,包括分类地区的地图和航片等。 (2)进行野外调查获取研究区域的第一手信息。 (3)设计野外调查路线和内容。 (4)分类数字影像预分析。 (5)找出潜在的训练样区。 (6)定位和绘制训练样区。 (7)检查每个训练样区的各波段频率直方图。 (8)调整和去除双峰频率分布。 (9)合并训练数据信息并用于分类程序,进行计算机监督分类过程。 解题思路: 9. 不同的地物具有不同的电磁波反射和辐射特性,因而表现在遥感图像上具有不同的灰度和色调。正是这种特性,使我们可以利用遥感图像进行地物识别、提取所需要的信息,所以掌握地物在不同状态不同波段下的反射、辐射或者散射特征是非常重要的。 自行举例说明4种以上不同地物的散射特征。(10分) 参考答案: 解题思路:

等精度数字频率计的设计

等精度数字频率计的设计 李艳秋 摘要 基于传统测频原理的频率计的测量精度将随着被测信号频率的下降而降低,在实用中有很大的局限性,而等精度频率计不但有较高的测量精度,而且在整个测频区域内保持恒定的测试精度。运用等精度测量原理,结合单片机技术设计了一种数字频率计,由于采用了屏蔽驱动电路及数字均值滤波等技术措施,因而能在较宽定的频率范围和幅度范围内对频率,周期,脉宽,占空比等参数进行测量,并可通过调整闸门时间预置测量精度。选取的这种综合测量法作为数字频率计的测量算法,提出了基于FPGA 的数字频率计的设计方案。给出了该设计方案的实际测量效果,证明该设计方案切实可行,能达到较高的频率测量精度。 关键词等精度测量,单片机,频率计,闸门时间,FPGA Ⅱ

ABSTRACT Along with is measured based on the traditional frequency measurement principle frequency meter measuring accuracy the signalling frequency the drop but to reduce, in is practical has the very big limitation, but and so on the precision frequency meter not only has teaches the high measuring accuracy, moreover maintains the constant test precision in the entire frequency measurement region. Using and so on the precision survey principle, unified the monolithic integrated circuit technical design one kind of numeral frequency meter, because has used the shield actuation electric circuit and technical measure and so on digital average value filter, thus could in compared in the frequency range and the scope scope which the width decided to the frequency, the cycle, the pulse width, occupied parameter and so on spatial ratio carries on the survey, and might through the adjustment strobe time initialization measuring accuracy. Selection this kind of synthesis measured the mensuration took the digital frequency meter the survey algorithm, proposed based on the FPGA digital frequency meter design proposal. Has produced this design proposal actual survey effect, proved this design proposal is practical and feasible, can achieve the high frequency measurement precision Keywords Precision survey, microcontroller, frequency meter, strobe time,field programmable gate array Ⅱ

电力系统频率的高精度测量方法研究

电力系统频率的高精度测量方法研究 频率是电力系统和电气设备的重要运行参数,频率测量是电力系统和电气设备运行、监测、控制以及继电保护的基础。本文简单地介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,以及对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 标签:频率测量电压信号窗函数 0 引言 电能是当今世界主要能源之一,它的质量标准是以频率、电压和波形来衡量的。电能质量的好坏直接影响工农业生产和人民的生活。因此,正确地进行系统频率、电压管理,保证合格的电能质量,是相当重要的。 频率是检验电能生产质量的指标之一,也是衡量电力系统运行状态的重要参数。它反映了负荷与电源之间的动态能量平衡。在电力系统中,当系统电源出力低于负荷标称频率下的功率消耗,且系统热备用容量明显不足时,系统将由于有功不足导致电源机组低速运转而使系统频率下降,如不采取有效措施,将导致机组损坏、系统瓦解的重大恶性事故。因而电力系统运行中的主要任务之一,就是对频率进行监视和控制。同时,国民经济对电力供应的依赖性愈来愈强,电力用户对电能质量的要求愈来愈严格;从而,电力生产对电力系统频率测量提出了更高的要求。 本文介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,并对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 1 傅立叶算法 1.1 傅立叶算法的基本原理首先假设系统电压信号仅含基频分量,系统的额定基频为采样频率为f0,系统的实际频率为f=f0+△f,则电压信号可表示为: (1) 令,则 (2) 用离散差分方程代替(1-2)式的求导,并取时间间隔为一个测量周期T0=1/f0,得,则

采用等精度测频原理的频率计的设计

采用等精度测频原理的频率计的设计 一.设计要求 1.设计一个用等精度测频原理的频率计。 2.频率测量测量范围1~9999; 3.用4位带小数点数码管显示其频率; 二.测频原理及误差分析 1.常用的直接测频方法主要有测频法和测周期法两种。 2.测频法就是在确定的闸门时间Tw内,记录被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:fx=Nx/Tw。 3.测周期法需要有标准信号的频率fs,在待测信号的一个周期Tx内,记录标准频率的周期数Ns,则被测信号的频率为:fx=fs/Ns。 4.这两种方法的计数值会产生±1个字误差,并且测试精度与计数器中记录的数值Nx或Ns有关。为了保证测试精度,一般对于低频信号采用测周期法;对于高频信号采用测频法,因此测试时很不方便,所以人门提出等精度测频方法。 5.等精度测频方法是在直接测频方法的基础上发展起来的。 6.它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,测除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。 等精度测频原理波形图 7.在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。 8.首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信

号的上升沿到来时,计数器才真正开始计数。 然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。可以看出,实际闸门时间τ与预置闸门时间τ1并不严格相等,但差值不超过被测信号的一个周期 9.设在一次实际闸门时间τ中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。 10.标准信号的频率为fs,则被测信号的频率为 11.由式(1)可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差为 12.δ=(|fxc-fx|/fxe)×100% (2) 13.其中fxe为被测信号频率的准确值。 14.δ=|ΔNs|/Ns≤1/Ns=1/(τ·fs) ?由上式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标 准信号频率有关,即实现了整个测试频段的等精度测量。 ?闸门时间越长,标准频率越高,测频的相对误差就越小。 ?标准频率可由稳定度好、精度高的高频率晶体振荡器产生,在保证测量精度不变的前提 下,提高标准信号频率,可使闸门时间缩短,即提高测试速度。 等精度测频的实现方法可简化为下图所示的框图 三.设计步骤 ?CNT1和CNT2是两个可控计数器,标准频率(fs)信号从CNT1的时钟输入端CLK 输入;经整形后的被测信号(fx)从CNT2的时钟输入端CLK输入。 ?每个计数器中的CEN输入端为时钟使能端控制时钟输入。 ?当预置门信号为高电平(预置时间开始)时,被测信号的上升沿通过D触发器的输出端,

摄影测量与遥感-自学手册

摄影测量与遥感 第一章摄影测量与遥感概述 第二章摄影测量基础 第三章遥感基础 第四章摄影测量与遥感处理系统 第五章野外像片调绘与像片控制测量 第六章基于摄影测量与遥感的4D产品生产

通过本课程的学习,学生能够对摄影测量与遥感有总体的认识。了解摄影测量和遥感的历史和趋势,掌握相关概念。掌握摄影测量与遥感的原理,利用遥感和摄影测量的技术手段获得4D产品,掌握摄影测量与遥感的野外和室内处理流程和要点。具体如下: 第一章摄影测量与遥感概述 摄影测量的任务、分类和发展;遥感及其发展;摄影测量与遥感的结合。 第二章摄影测量基础 单张航摄像片解析;像点坐标的量测;立体测图的原理与方法;摄影测量解析计算基础;数字摄影测量基础 第三章遥感基础 遥感的基础知识;遥感图像特征;常用卫星遥感简介;遥感图像的解译 第四章摄影测量与遥感处理系统 数字摄影测量系统;遥感数字图像处理系统;机载LIDAR和车载移动测图系统 第五章野外像片调绘与像片控制测量 野外像片调绘;像片控制测量 第六章基于摄影测量与遥感的4D产品生产 4D产品生产的数据流;解析空中三角测量;数字高程模型;数字正射影像图;数字线划地图;数字栅格地图

本章重点: 1、理解摄影测量的概念、特点和任务 2、掌握摄影测量的类别和发展历程 3、掌握遥感概念、类别和发展历程 4、掌握摄影测量技术与遥感技术的相辅相成的关系和相互促进技术特点 参考书: 1、梅安新,彭望渌,秦其明.2005. 遥感导论[M].北京:高等教育出版社. 2、张剑清,潘励,王树根. 2008.摄影测量学(第二版)[M].武汉:武汉大学 出版社. 3、国家测绘局职业技能鉴定指导中心.2010.测绘综合能力[M]. 北京:测绘出版社. §1.1 摄影测量概述 一、学习提要 1、摄影测量的任务 2、摄影测量的类型 3、摄影测量的发展历程 二、思考题 1、什么是摄影测量? P102 2、摄影测量的任务是什么? P102 3、摄影测量有哪几种分类方式,分别可分为哪些类别? P102 4、摄影测量经历了哪三个发展历程?其特点是什么? P103 5、数字摄影测量与传统摄影测量的根本区别是什么? P103 (1)产品是数字化的;(2)以计算机视觉替代人眼的立体观测。

全国大学生电子设计大赛F题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品
设计报告 部分错误未修正,软 件部分未添加
竞赛选题:数字频率计(F 题)
1 / 10

摘要
本设计选用 FPGA 作为数据处理与系统控制的核心,制作了一款超高精度 的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法, 全部电路使用 PCB 制版,进一步减小误差。
AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度, 且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率 范围广的问题。频率等参数的测量采用闸门时间为 1s 的等精度测量法。闸门时 间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了 系统精度。
经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指 标上远超赛题发挥部分要求。
关键词:FPGA 自动增益控制 等精度测量法
1 / 10

目录
摘 要....................................................................................................................1 目录........................................................................................................................ 2 1. 系统方案...................................................................................................3
1.1. 方案比较与选择................................................................................3 1.1.1. 宽带通道放大器.........................................................................3 1.1.2. 正弦波整形电路.........................................................................3 1.1.3. 主控电路.....................................................................................3 1.1.4. 参数测量方案.............................................................................4
1.2. 方案描述............................................................................................4 2. 电路设计...................................................................................................4
2.1. 宽带通道放大器分析........................................................................4 2.2. 正弦波整形电路................................................................................5 3. 软件设计...................................................................................................6 4. 测试方案与测试结果...............................................................................6 4.1. 测试仪器............................................................................................6 4.2. 测试方案及数据................................................................................7
4.2.1. 频率测试.....................................................................................7 4.2.2. 时间间隔测量.............................................................................7 4.2.3. 占空比测量.................................................................................8 4.3. 测试结论............................................................................................9 参考文献................................................................................................................ 9
2 / 10

高精度测频率

一.捕获法 现给出主要代码CaiJi.c #include "stm32f10x.h" #include "CaiJi.h" //配置系统时钟,使能各外设时钟 void RCC_Configuration(void) { SystemInit(); RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3, ENABLE); //时钟配置 RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOF | RCC_APB2Periph_AFIO , ENABLE ); } void GPIO_Configuration(void) { GPIO_InitTypeDef GPIO_InitStructure; GPIO_InitStructure.GPIO_Pin = GPIO_Pin_6 | GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_OD; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(GPIOF, &GPIO_InitStructure); GPIO_SetBits(GPIOF,GPIO_Pin_6 | GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9); GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING; GPIO_Init(GPIOA, &GPIO_InitStructure); } void NVIC_Configuration(void) { NVIC_InitTypeDef NVIC_InitStructure; #ifdef VECT_TAB_RAM NVIC_SetVectorTable(NVIC_VectTab_RAM, 0x0); #else NVIC_SetVectorTable(NVIC_VectTab_FLASH, 0x0); #endif NVIC_PriorityGroupConfig(NVIC_PriorityGroup_0);

等精度数字频率计的设计

等精度数字频率计的设计 (Design of equal precision digital frequency meter)作者:李欢(电子工程学院光信息科学与技术 1103班) 指导教师:惠战强 摘要:伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种实现电系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,促进了工程发展。 数字频率计是一种基本的测量仪器。它被广泛应用于航天、电子、测控等领域。采用等精度频率测量方法具有测量精度保持恒定,不随所测信号的变化而变化的特点。本文首先综述了EDA技术的发展概况,FPGA/CPLD开发的涵义、优缺点,VHDL语言的历史及其优点,然后介绍了频率测量的一般原理。 关键字:电子设计自动化;VHDL语言;频率测量;数字频率计 Abstract The Electronic Design Automation (EDA) technology has become an important design method of analog and digital circuit system as the integrated circuit's growing. The EDA technology, which is closely connected with the electronic technology, microelectronics technology and computer science, can be used in designing electronic product automatically. Digital frequency meter is a basic measuring instruments. It is widely used in aerospace, electronics, monitoring and other fields. With equal precision frequency measurement accuracy to maintain a constant, and not with the measured signal varies.We firstly present some background information of EDA, FPGA/CPLD and VHDL;then introduced the general principle of frequency measurement. Keywords: Electronic Design Automation,VHDL, Frequency measurement,digital frequency meter.

相关主题
文本预览
相关文档 最新文档