当前位置:文档之家› 哈尔滨工业大学(哈工大)2015《概率论与数理统计》作业题目及答案概要

哈尔滨工业大学(哈工大)2015《概率论与数理统计》作业题目及答案概要

哈尔滨工业大学(哈工大)2015《概率论与数理统计》作业题目及答案概要
哈尔滨工业大学(哈工大)2015《概率论与数理统计》作业题目及答案概要

已知随机变量X的密度函数【C】

若X 【B】

为来自正态总体的一个样本,若进行假设检验C

设A,B为两随机事件,且B 则下列式子正确的是D

那么(X,Y)的联合分布为【不是CD】

下列二无函数中,可以作为连续型随机变量的联合概率密度B

掷一颗均匀的骰子600次,那么出现“一次”次数的均值为B

在一次假设检验中,下列说法正确的是A

在一次假设检验中,下列说法正确的是 C

得到置信度为95%的置信区间,意义是指这个区间D

设X C

在假设检验问题中,犯第一类错误的概率 C

则下列选项中不是统计量的是 B

的最大似然估计为

设A,B为两随机事件,且 D

那么下列选项中不正确的是A

得一个样本,则服从的分布为 B

以A表示事件“甲种产品畅销,乙种产品滞销”,则其对立事件A为 B

若X 那么 B

的一个样本,若进行假设检验,当 D

袋中有50个乒乓球,其中20个黄的,30个白的D

为来自正态总体简单随机样本则服从自由度为 C

对于事件A,B,下列命题正确的是D

是来自正态总体则统计量服从的分布是D

是未知参数的一个估计量D

设某个假设检验问题的拒绝域为 B

是来自总体的样本,则下列是统计量的是 B

在对单个正态总体均值的假设检验中,当总体方差已知时,选用B

分别来自两个相互独立的正态总体分别是其样本方差 B

在一个确定的假设检验中,与判断结果相关的因素有D

设总体为抽取样本D

的联合分布为B

是来自总体X的样本的无偏估计的是D

那么下列命题中正确的是B

下列函数中,可作为某一随机变量的分布函数是C

假设随机变量X的分布函数为F(x)C

那么(X,Y)的联合分布为B

对于任意两个随机变量X和Y A

设置X 【不是B】

则下列选项种不是统计量的是B

哈工大电工综合设计完整版

时间:2013春季学期班级:1108101学号:1110810104姓名:陈文华 11.驱动七段共阴极LED数码管的译码电路 一、设计要求: (1)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示H、O、P、E、F、U、L七个字母。 (2)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示1、1、0、8、1、0、1(或1108102、103、104)七个数字(根据自己的班级号)。 二、设计方案: 1.设计原理及设计方案选择 (1)a.本题目要求来自计数器的变量A、B、C,所以需要一计数器,使其八进制计数。A、B、C输出还不能直接接数码显示器,需要经过一译码器,将具有特定含义的二进制代码译成对应的输出信号,然后根据题目要求对译码器的输出进行逻辑运算,接到数码管显示。 b.根据学过的知识,对于计数模块,异步集成计数器74LS90和同步集成计数器74LS161都能实现要求,本设计采用的是异步集成计数器74LS90。通过接线方式的处理就可以实现八进制计数。 c.对于译码模块,采用的是3线—8线译码器74LS138。将计数器的ABC(D在本次设计中不需要接到输入)输出接到译码器的输入,经过译码器译成对应的输出信号,这样就可以对能实现要求的信号进行逻辑运算了。 为了实现设计要求,需根据要显示的内容和输出信号来进行逻辑运算,如下: 译码输出: C B A Y0Y1Y2Y3Y4Y5Y6Y7 00001111111 00110111111 010******** 01111101111 10011110111 10111111011 11011111101 11111111110 要显示的内容: a.显示H、O、P、E、F、U、L七个字母: a b c d e f g显示字形 0000000数码管全灭 0110111H 1111110O 1100111P

(完整版)哈工大工程热力学习题答案——杨玉顺版

第二章 热力学第一定律 思 考 题 1. 热量和热力学能有什么区别?有什么联系? 答:热量和热力学能是有明显区别的两个概念:热量指的是热力系通过界面与外界进行的热能交换量,是与热力过程有关的过程量。热力系经历不同的过程与外界交换的热量是不同的;而热力学能指的是热力系内部大量微观粒子本身所具有的能量的总合,是与热力过程无关而与热力系所处的热力状态有关的状态量。简言之,热量是热能的传输量,热力学能是能量?的储存量。二者的联系可由热力学第一定律表达式 d d q u p v δ=+ 看出;热量的传输除了可能引起做功或者消耗功外还会引起热力学能的变化。 2. 如果将能量方程写为 d d q u p v δ=+ 或 d d q h v p δ=- 那么它们的适用范围如何? 答:二式均适用于任意工质组成的闭口系所进行的无摩擦的内部平衡过程。因为 u h pv =-,()du d h pv dh pdv vdp =-=-- 对闭口系将 du 代入第一式得 q dh pdv vdp pdv δ=--+ 即 q dh vdp δ=-。 3. 能量方程 δq u p v =+d d (变大) 与焓的微分式 ()d d d h u pv =+(变大) 很相像,为什么热量 q 不是状态参数,而焓 h 是状态参数? 答:尽管能量方程 q du pdv δ=+ 与焓的微分式 ()d d d h u pv =+(变大)似乎相象,但两者 的数学本质不同,前者不是全微分的形式,而后者是全微分的形式。是否状态参数的数学检验就是,看该参数的循环积分是否为零。对焓的微分式来说,其循环积分:()dh du d pv =+???蜒? 因为 0du =??,()0d pv =?? 所以 0dh =??, 因此焓是状态参数。 而对于能量方程来说,其循环积分: q du pdv δ=+???蜒?

哈工大数电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础 设计题目:血型与状态机 院系: 班级: 设计者: 学号: 哈尔滨工业大学

血型逻辑电路设计 一实验目的 1.掌握采用可编程逻辑器件实现数字电路与系统的方法。 2.掌握采用Xilinx_ISE软件开发可编程逻辑器件的过程。 3.学会设计血型能否输血的数字电路。 4.掌握Verilog HDL描述数字逻辑电路与系统的方法。 二设计要求 1.采用BASYS2开发板开关,LED,数码管等制作验证能否输血的电路。 2.采用Xilinx_ISE软件进行编程、仿真与下载设计到BASYS2开发板。三电路图 1.电路模块图(简化) 应用: 2.内部电路组成(简化)

四 编程 1.源程序 module xuexing(M, N, P, Q, E,F,G,OUT,CTL,clk,bi); input M; input N; input P;

output E; output[3:0] F; output[3:0] G; output[7:0] OUT; output[3:0] CTL; reg E; reg[3:0] F; reg[3:0] G; reg[7:0] OUT; reg[7:0] OUT1; reg[7:0] OUT2; reg[7:0] OUT3; reg[7:0] OUT4; reg[3:0] CTL=4'b1110; output bi; reg bi; integer clk_cnt; reg clk_400Hz; always @(posedge clk) //400Hz扫描信号if(clk_cnt==32'd100000) begin clk_cnt <= 1'b0; clk_400Hz <= ~clk_400Hz; end else clk_cnt <= clk_cnt + 1'b1; //位控制 reg clk_1Hz; integer clk_1Hz_cnt; //1Hz发声信号 always @(posedge clk) if(clk_1Hz_cnt==32'd2*******-1) begin clk_1Hz_cnt <= 1'b0; clk_1Hz <= ~clk_1Hz; end else clk_1Hz_cnt <= clk_1Hz_cnt + 1'b1; always @(posedge clk_400Hz) CTL <= {CTL[2:0],CTL[3]}; //段控制 always @(CTL) case(CTL) 4'b0111: OUT=OUT1; 4'b1011:

传热学习题及参考答案

《传热学》复习题 一、判断题 1.稳态导热没有初始条件。() 2.面积为A的平壁导热热阻是面积为1的平壁导热热阻的A倍。() 3.复合平壁各种不同材料的导热系数相差不是很大时可以当做一维导热问题来处理() 4.肋片应该加在换热系数较小的那一端。() 5.当管道外径大于临界绝缘直径时,覆盖保温层才起到减少热损失的作用。() 6.所谓集总参数法就是忽略物体的内部热阻的近视处理方法。() 7.影响温度波衰减的主要因素有物体的热扩散系数,波动周期和深度。() 8.普朗特准则反映了流体物性对换热的影响。() 9. 傅里叶定律既适用于稳态导热过程,也适用于非稳态导热过程。() 10.相同的流动和换热壁面条件下,导热系数较大的流体,对流换热系数就较小。() 11、导热微分方程是导热普遍规律的数学描写,它对任意形状物体内部和边界都适用。( ) 12、给出了边界面上的绝热条件相当于给出了第二类边界条件。 ( ) 13、温度不高于350℃,导热系数不小于0.12w/(m.k)的材料称为保温材料。 ( ) 14、在相同的进出口温度下,逆流比顺流的传热平均温差大。 ( ) 15、接触面的粗糙度是影响接触热阻的主要因素。 ( ) 16、非稳态导热温度对时间导数的向前差分叫做隐式格式,是无条件稳定的。 ( ) 17、边界层理论中,主流区沿着垂直于流体流动的方向的速度梯度零。 ( ) 18、无限大平壁冷却时,若Bi→∞,则可以采用集总参数法。 ( ) 19、加速凝结液的排出有利于增强凝结换热。 ( ) 20、普朗特准则反映了流体物性对换热的影响。( ) 二、填空题 1.流体横向冲刷n排外径为d的管束时,定性尺寸是。 2.热扩散率(导温系数)是材料指标,大小等于。 3.一个半径为R的半球形空腔,空腔表面对外界的辐射角系数为。 4.某表面的辐射特性,除了与方向无关外,还与波长无关,表面叫做表面。 5.物体表面的发射率是ε,面积是A,则表面的辐射表面热阻是。 6.影响膜状冷凝换热的热阻主要是。

哈工大数字电子技术基础习题册答案7和10(修改)

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1 n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

哈工大电工设计报告参考答案 2

2012秋季学期《电工技术I》大作业 (1108101~104) 班级: 1108103 学号: 姓名: 成绩:

继电接触器和可编程控制器综合设计题目 有一运料小车在A、B两地来回运行,其中A地为装料处,设有限位开关ST1,每次装料时间为30s;B地为卸料处,设有限位开关ST2,每次卸料时间为20s。 小车运行控制分手控操作和自控操作。 控制要求: (1)手动操作:能手动控制小车向A地运行或向B地运行。 (2)自控操作:当小车启动时,有一物料检测传感器检测小车料箱是否有料,如果有料,该传感器的常开触点闭合,小车自动向B地运行;如果无料,该传感器的常闭触点闭合,小车自动向A地运行。小车到达B地限位开关ST2处停车20s卸料,然后自动驶向A地;小车到达A地限位开关ST1处停车30s装料,然后再自动返回B地卸料。如此循环往复。 (3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。再次启动后,小车重复(2)中内容。 设计要求: (1)设计控制该小车运行的继电接触器控制电路(包括主电路和控制电路); (2)设计控制该小车运行的PLC控制梯形图程序并画出外部接线图(注意进行I/O分配)。 (3)写出综合设计报告。 限位开关限位开关

PLC控制梯形图如下:

I/O分配如下: 1、手动操作 无论小车是否运行,只要按下SB4,KM1将会通电,其常闭触点断开,常开触点闭合,如果此前KM2处于通电状态,这个时候,KM2也将会断电,其常闭触点也会闭合。从而KM1实现自锁,并向A点运行,直至按下SB1或触到行程开关ST1。此后如果不按下SB4或SB5,小车会处于自动运行状态。 2、自动操作 如果小车中有料,则KM闭合,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM2通电,并实现自锁与互锁。向B点运行。如果小车中无料,则KM 断开,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM1通电,并实现自锁与互锁。向A点运行。启动时,如果小车先向A点运行,到达A点后行程开关ST1的常开触点闭合,常闭触点断开。KM1断电的同时,KT1开始计时。30S后,常开延时闭合开关ST1闭合,同时小车中因为有料而KM0通电,小车开始往B点运行,并实现自锁与互锁。到达B点后,使行程开关ST2的常闭触点断开,常开触点闭合,小车停止运行,KT2开始计时。20S后,常开延时闭合开关ST2闭合,同时小车中因为无料而K断电,小车开始往A点运行,并实现自锁与互锁。从此在A、B间往复运行,除非对其手动控制或按下SB0。 3、停车控制 按下SB0即可实现。

哈工大数电大作业——学号后三位为模的计数器

数字电子技术应用Verilog HDL设计计数器 学院:航天学院 班级: 学号: 姓名: 教师:

设计要求:利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计步骤:首先我的学号后三位为114,因此计数器范围是0到113一共114个数。然后根据此要求编写功能程序以及激励源的相关程序,第三步在modelsim下进行实验调试,看所编程序能否实现预期功能,然后再把相关实验数据截图记录。 程序代码: modulejishuqi(out,reset,clk); output [7:0] out; inputreset,clk; reg [7:0] out; always @(posedgeclk) begin if(!reset)out<=8'h00; else if(out>=113)out=8'h00; else out<=out+1; end endmodule 激励源设置程序: `timescale 1 ns/ 1 ps modulejishuqi_test(); regclk; reg reset; wire [7:0] out; jishuqi i1 ( .clk(clk), .out(out), .reset(reset) ); initial begin #1 clk=0; #10 reset=0; #40 reset=1; end always #20 clk=~clk ; endmodule Modelsim仿真波形图:

注二进制数01110001化成十进制数为113,因此得到了正确的波形图。RTL Viewer Technology Map Viewer

传热学答案+第五版+章熙民(完整版)

绪论 1.冰雹落体后溶化所需热量主要是由以下途径得到: Q λ——与地面的导热量 f Q——与空 气的对流换热热量 注:若直接暴露于阳光下可考虑辐射换热,否则可忽略不计。6.夏季:在维持20℃的室内,人体通过与空气的对流换热失去热量,但同时又与外界和内墙面通过辐射换热得到热量,最终的 总失热量减少。(T T? 外内 ) 冬季:在与夏季相似的条件下,一方面人体通过对流换热失去部分热量,另一方面又与外界和内墙通过辐射换热失去部分 热量,最终的总失热量增加。(T T? 外内 )。挂上窗帘布阻断了与外界的辐射换热,减少了人体的失热量。 7.热对流不等于对流换热,对流换热 = 热对流 + 热传导热对流为基本传热方式,对流换热为非基本传热方式 8.门窗、墙壁、楼板等等。以热传导和热对流的方式。 9.因内、外两间为真空,故其间无导热和对流传热,热量仅能通过胆壁传到外界,但夹层两侧均镀锌,其间的系统辐射系数 降低,故能较长时间地保持热水的温度。 当真空被破坏掉后,1、2两侧将存在对流换热,使其保温性

能变得很差。 10.t R R A λλ = ? 1t R R A λ λ = = 221 8.331012 m --=? 11.q t λσ =? const λ=→直线 const λ≠ 而为λλ=(t ) 时→曲线 12. i R α 1 R λ 3 R λ 0 R α 1 f t ??→ q 首先通过对流换热使炉子内壁温度升高,炉子内壁通过热传导,使内壁温度生高,内壁与空气夹层通过对流换热继续传递热量,空气夹层与外壁间再通过热传导,这样使热量通过空气夹层。(空气夹层的厚度对壁炉的保温性能有影响,影响a α的大小。) 13.已知:360mm σ=、0.61()W m K λ=? 1 18f t =℃ 2187() W h m K =? 2 10f t =-℃ 22124() W h m K =? 墙高2.8m ,宽3m 求:q 、1 w t 、2 w t 、φ 解:12 11t q h h σλ?= ++= 18(10) 45.9210.361 870.61124 --=++2W m

(完整版)哈工大matlab期末考试题试题及答案(95分)分解,推荐文档

建议收藏下载本文,以便随时学习! 春季学期MATLAB期末作业 学院:机电工程学院 专业:机械制造设计及其自动化 学号: 班号: 姓名: 我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2013年春季学期 MATLAB 课程考查题 姓名: 学号: 学院: 机电学院 专业: 机械制造 一、 必答题:1.matlab 常见的数据类型有哪些?各有什么特点? 常量:具体不变的数字 变量:会根据已知条件变化的数字 字符串:由单引号括起来的简单文本 复数:含有复数的数据 2.MATLAB 中有几种帮助的途径? (1)帮助浏览器:选择view 菜单中的Help 菜单项或选择Help 菜单中的 MATLAB Help 菜单项可以打开帮助浏览器; (2)help 命令:在命令窗口键入“help” 命令可以列出帮助主题,键入 “help 函数名”可以得到指定函数的在线帮助信息; (3)lookfor 命令:在命令窗口键入“lookfor 关键词”可以搜索出一系列 与给定关键词相关的命令和函数 (4)模糊查询:输入命令的前几个字母,然后按Tab 键,就可以列出所有以 这几个字母开始的命令和函数。 注意:lookfor 和模糊查询查到的不是详细信息,通常还需要在确定了具体 函数名称后用help 命令显示详细信息。 3.Matlab 常见的哪三种程序控制结构及包括的相应的语句? 1.顺序结构:数据输入A=input(提示信息,选项) 数据输出disp(X) 数据输出fprintf(fid,format,variables) 暂停pause 或 pause(n) 2.选择结构: If 语句: if expression (条件) statements1(语句组1) else statements2(语句组2)建议收藏下载本文,以便随时学习!我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号: 5. 参考图5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60

度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃和单位斜坡响应曲线。 + 一.人工设计过程 1.计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(() 1)(1()(2 12 1T s T s T s T s K s G c c ββ++++= 于是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++= s s s s G

首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统是不稳定的。超前滞后校正装置设计的下一步是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s ,将新的增益穿越频率仍选为2rad/s ,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s ,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值, 对于超前校正,最大的超前相角m φ由下式确定 1 1 sin +-= ββφm 因此选)79.64(20 ==m φβ,那么,对应校正装置相角滞后部分的极点的转角频率为 )/(12T βω=就是01.0=ω,于是,超前滞后校正装置的相角滞后部分的传函为 1 1001 520 01.02.0++=++s s s s 相角超前部分:由图1知dB j G 10|)4.2(|=。因此,如果超前滞后校正装置在2=ωrad/s 处提供-10dB 的增益,新的增益穿越频率就是所期望的增益穿越频率。从这一要求出发,可 以画一条斜率为-20dB 且穿过(2rad/s ,-10dB )的直线。这条直线与0dB 和-26dB 线的交点就确定了转角频率。因此,超前部分的转角频率被确定为s rad s rad /10/5.021==ωω和。 因此,超前校正装置的超前部分传函为 )1 1.01 2(201105.0++=++s s s s 综合校正装置的超前与之后部分的传函,可以得到校正装置的传递函数)(S G c 。 即) 1100)(11.0() 15)(12(01.02.0105.0)(++++=++++= s s s s s s s s s G c 校正后系统的开环传递函数为

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

哈工大传热学作业答案

一维非稳态导热计算 4-15、一直径为1cm,长4cm 的钢制圆柱形肋片,初始温度为25℃,其后,肋基温度突然升高到200℃,同时温度为25℃的气流横向掠过该肋片,肋端及两侧的表面传热系数均为 100。试将该肋片等分成两段(见附图),并用有 限差分法显式格式计算从开始加热时刻起相邻4个时刻上的温度分布(以稳定性条件所允许的时间间隔计算依据)。已知=43W/(m.K),。(提示:节点4的离散方程可按端面的对流散热与从节点3到节点4的导热相平衡这一条件列出)。 解:三个节点的离散方程为: 节点2: 节点3: 节点4: 。 以上三式可化简为: 稳定性要求,即 。 ,代入得: , 如取此值为计算步长,则: ,。 于是以上三式化成为: )./(2 K m W λs m a /10333.12 5 -?=()()12223212222/2444k k k k k k k f t t t t t t d d d d x h t t c x x x πππλλπρτ+????????---++?-=?? ? ? ? ???????????? ()()12224323333/2444k k k k k k k f t t t t t t d d d d x h t t c x x x πππλλπρτ+????????---++?-=?? ? ? ? ???????????? () 22344/244k k k f t t d d h t t x ππλ????-=- ? ?????? 12132222 43421k k f a a h a h t t t t t x x cd x cd τττττρρ+????????????? =+++-- ? ? ? ????????????13243222 43421k k f a a h a h t t t t t x x cd x cd τττττρρ+????????????? =+++-- ? ? ? ??????????? ?()4322k k f xh t t xht λλ+?=+?2 3410a h x cd ττ ρ??- -≥?2341/a h x cd τρ???≤+ ????5 54332.25810 1.33310c a λρ-===??5253 1.33310410011/8.898770.020.013 2.258100.0999750.0124s τ-??????≤+== ???+??5221.333108.898770.29660.02a x τ-???==?5441008.898770.110332.258100.01h cd τρ???==??1132 20.29660.29660.1103k k f t t t t +?++=12430.29660.296620.1103k k k f t t t t ++?+=34 0.97730.0227k k f t t t +=

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

数电大作业

子技术》大作业 电子技术基础是一门实践性很强的课程。数字电路大作业是在学完本门课程后,对所学知识的综合性考察。大作业分成两种形式:理论设计和实物制作,大家可以自由选择,要求附后。希望同学们借助教材、参考书以及互联网等,充分发挥想象力和创造力,认真完成本次大作业。 【理论设计要求】 1.每3人一组,完成其中一个题目。每人写出一份设计报告,而每组只提交一份报告,网上提交,完成时间截至第18周,逾期系统自动关闭。 2.鼓励有条件的同学应用Multisim或Orcad/Pspice等软件对所设计电路进行仿真。 3.不能使用单片机实现。 4.将对设计报告进行评定打分并作为平时成绩计入期末总成绩。 【实物制作要求】 1.制作出一个实用的电子电路,具体不限,能成功演示其功能。 2.一人或者两人一题。 3.写出简单的设计说明,并于网上提交。 4.将对实物制作情况评定打分并作为平时成绩计入期末总成绩。 【报告内容】 1. 目录 2. 设计目的及要求

工作原理、系统方框图 4. 各部分选定方案及电路组成、相关器件说明 5. 调试过程(如果没做实物,可免) 6. 设计结论 7 设计心得与总结(要具体落实到小组各成员) 8. 参考文献 9. 附录 附录一:元器件清单 附录二:总体设计图 附录三:仿真结果(可无) 附录四:小组各成员所做工作说明(设计者排序),每个成员对最终方案的贡献(哪个地方是谁设计的) 【理论设计题目】 NO.1 设计一个输血—受血判别电路,当输血者和受血者的血型符合相关规则,配型成功,受血者可以接受输血者提供的血液,用LED指示配型成功与否。 NO.2 循环彩灯电路

传热学作业参考答案

第九章 4.一工厂中采用0.1MPa 的饱和水蒸气在—金属竖直薄壁上凝结,对置于壁面另一侧的物体进行加热处理。已知竖壁与蒸汽接触的表面的平均壁温为70 ℃,壁高1.2m ,宽300 mm 。在此条件下,一被加热物体的平均温度可以在半小时内升高30℃,试确定这一物体的平均热容量(不考虑散热损失)。 解:本题应注意热平衡过程,水蒸气的凝结放热量应等于被加热物体的吸热量。 P=0.1Mpa=105Pa,t s =100℃,r=2257.1kJ/kg, t m = 21( t s + t w )= 2 1 (100+70) ℃=85℃。 查教材附录5,水的物性为:ρ=958.4kg/m 3;λ=0.683 W /(m 2·℃);μ=282.5×10-6N·s/m 2 假设流态为层流: 4 1 3 2)(13.1? ? ? ???-=w s t t l r g h μλρ 41 6 3 3 2 )70100(2.1105.282102257683.081.94.95813.1?? ????-???????=- W /(m 2 ·℃) =5677 W /(m 2·℃) 3 6102257105.2822 .13056774)(4Re ??????=-= -r t t hl w s c μ=1282<1800 流态为层流,假设层流正确 Φ=ωl t t h w s )(- =5677×(100?70)×1.2×0.3W=61312W 凝结换热量=物体吸热量 Φ?τ=mc p ?t 61068.330 60 3061312?=??=?Φ?= t mc p τJ/℃ 16.当液体在一定压力下做大容器饱和沸腾时,欲使表面传热系数增加10倍,沸腾温 差应增加几倍?如果同一液体在圆管内充分发展段做单相湍流换热,为使表面传热系数增加10倍,流速应增加多少倍?维持流体流动所消耗的功将增加多少倍?设物性为常数。 解 ①由米洛耶夫公式: { 5 .033.22 25.033.211122.0122.0p t h p t h ?=?= 10)(33.21 212=??=t t h h 所以 69.21033.211 2 ==??t t 即当h 增大10倍时,沸腾温差是原来的2.69倍。 ②如为单相流体对流换热,由D-B 公式可知8 .0m u h ∝,即

哈工大DSP大作业

DSP-F2812的最小系统设计 姓名 学号 班级 时间

一、设计目的: TMS320F2812DSP是TI公司一款用于控制的高性能、多功能、高性价比的32位定点DSP。它整合了DSP和微控制器的最佳特性,集成了事件管理器,A/D转换模块、SCI通信接口、SPI外设接口、eCAN 总线通信模块、看门狗电路、通用数字I/O口、多通道缓冲串口、外部中断接口等多个功能模块,为功能复杂的控制系统设计提供了方便,同时由于其性价比高,越来越多地被应用于数字马达控制、工业自动化、电力转换系统、医疗器械及通信设备中。 通过本课程的学习,我对DSP的各个模块有了较为深入的了解,希望可以通过对最小系统的设计,进一步加深对DSP的学习,能在实践中运用DSP,提高自己的动手实践能力。 二、设计思路 所谓最小系统就是由主控芯片加上一些电容、电阻等外围器件构成,其能够独立运行,实现最基本的功能。为了验证DSP的最基本的功能,我设计了如下单元:有源电路的设计、复位电路及JATG下载口电路的设计、外扩RAM的设计、串口电路的设计、外扩A/D模块电路的设计。 三、详细设计步骤和原理 1、电源电路的设计 TMS320F2812工作时所要求的电压分为两部分:3.3V的Flash电压和1.8V的内核电压。TMS320F2812对电源很敏感,所以在此推荐

选择电压精度较高的电源芯片TPS767D318。TPS767D318芯片输入电压为+5V,芯片起振,正常工作之后,能够产生3.3V和1.8V两种电压电压供DSP使用。如下图所示: 2、复位电路及JATG下载口电路的设计 考虑到TPS767D301芯片自身能够产生复位信号,此复位信号可以直接供DSP芯片使用,所以不用为DSP设置专门的复位芯片。 在实际设计过程中,考虑到JATG下载口的抗干扰性,在与DSP 相连接的接口均需要采用上拉设计。

传热学-第一章习题答案

传热学习题答案 第一章 蓝色字体为注释部分 1-4、对于附图中所示的两种水平夹层,试分析冷、热表面间的热量交换方式有什么不同?如果要通过实验来测定夹层中流体的导热系数,应采用哪种布置? 答:图(a)的热量交换方式为导热(热传导),图(b)的热量交换方式为导热(热传导)及自然对流。应采用图(a)的方式来测定流体的导热系数。 解释:因为图(a)热面在上,由于密度不同,热流体朝上,冷流体朝下,冷热流体通过直接接触来交换热量,即导热;而图(b)热面在下,热流体密度小,朝上运动,与冷流体进行自然对流,当然也有导热。 因为图(a)中只有导热,测定的传热系数即为导热系数;而图(b)有导热和自然对流方式,测定的传热系数为复合传热系数。 1-6、一宇宙飞船的外形如附图所示,其中外遮光罩是凸出于飞船船体之外的一个光学窗口,其表面的温度状态直接影响飞船的光学遥感器。船体表面各部分的表面温度与遮光罩的表面温度不同。试分析:飞船在太空中飞行时与外遮光罩表面发生热交换的对象可能有哪些?换热方式是什么? 答:可能与外遮光罩表面发生热交换的对象有两个:一个是外遮光罩表面与外太空进行辐射换热,另一个是外遮光罩表面与船体表面进行辐射换热。 解释:在太空中,只有可能发生热辐射,只要温度大于0K,两个物体就会发生辐射换热。 1-9、一砖墙的表面积为12m2, 厚260mm,平均导热系数为1.5W/(m.K),设面向室内的表面温度为25℃,外表面温度为-5℃,试确定此砖墙向外界散失的热

量。 解:()()()12 = 1.5122550.26 2076.92W λδΦ-=? ?--=w w A t t 此砖墙向外界散失的热量为2076.92W 。 1-12、在一次测定空气横向流过单根圆管的对流换热实验中,得到下列数据:管壁平均温度t w =69℃,空气温度t f =20℃,管子外径d =14mm ,加热段长80mm ,输入加热段的功率为8.5W 。如果全部热量通过对流传热传给空气,试问此时的对流传热表面传热系数多大? 解:此题为对流传热问题,换热面积为圆管外侧表面积,公式为: ()()πΦ=-=??-w f w f hA t t h dl t t ∴ ()() 2()8.53.140.0140.08692049.3325πΦ= ?-=???-=?w f h dl t t W m K 此时的对流传热表面传热系数49.3325W/(m 2.K) 1-18、宇宙空间可近似地看成为0K 的真空空间。一航天器在太空中飞行,其外表面平均温度为250K ,表面发射率为0.7,试计算航天器单位表面上的换热量。 解:此题为辐射换热问题,公式为: ()()4412842 0.7 5.67102500155.04εσ-=-=???-=q T T W m 航天器单位表面上的换热量为155.04W/m 2。

哈工大电工技术 试题

哈尔滨工业大(威海) 2003 /2004 学年 秋 季学期 电工技术 试题(A)  题号 一 二 三 四 五 六 七 八 九 十 总分 附加题 分数 一、选择与填空( 20分 ,1-7每题 2分,8题每空2分) 1.图(1)所示电路中,a 、b 间的等效电阻为(4?)。   (1) (2)  2.试计算图(2)所示电路中的 A点的电位为(5V)。 3.将下图所示电路的ab 二端网络化成戴维南等效电路。 4.三相异步电动机的额定转速为 1460r/min 。当负载转矩为额定转矩的一 半时,电动机的转速为(1480r/min )。 姓名 班级: 注 意 行 为 规 范 遵 守 考 试 纪 律 10V I +-a b 0.5 I 1k Ω 1k Ω

5.电路如图所示,已知X L =R=X C ,并已知安培计A 的读数为5A ,则A 1的读数为(52A ),A 2的读数为(5A )。 6.有一交流铁心线圈,线圈匝数加倍,线圈的电阻及电源电压保持不变。铁心的磁感应强度将(增大、减小、不变),线圈中的电流将(增大、减 小 、不变) 7.将R L =8Ω的扬声器接在输出变压器的副绕组上,已知N1=300匝,N2=100匝,信号源电动势E=6V,内阻R0=100Ω,扬声器得到的功率为 (0.0876W)。 8.三相异步电动机的额定转速为1470转/分,电源电压为380V,三角形联接,功率为30kW ,效率为93%,功率因数为0.85。试计算额定转矩为(194.90N m ?);额定转差率为( 2% );额定电流为( 57.66A )。 二、图中N为无源电阻网络,已知当US=10V,IS=0时,UX=10V;当US=0,IS=1A时,UX=20V。求当US=20V,IS=3A时,UX为多少。(7分)  解: 由线性电路的叠加定理得: a s U + b s I = c x V 当V V s 10=时 V U I s s 10,0== 即 10a=10c + - U s + - U x

2009传热学试卷(1)标准答案

2009传热学试卷(1)标准答案 一.填空题:(共20分)[评分标准:每小题2分] 1.按照导热机理,水的气、液、固三种状态中气态状态下的导热系数最小。 2.灰体是指吸收率与投入辐射的波长无关的物体。 3.对服从兰贝特定律的物体,辐射力E 与定向辐射强度L 之间的关系式为 E=πL 。 4.何为热边界层?固体壁面附近流体温度剧烈变化的薄层。 5.沸腾的临界热流密度是核态沸腾转变为过渡沸腾时的热流密度。 6.设计换热器时,温差修正系数ψ应大于0.9,至少不能小于0.8, 否则应改选其它流动型式。 7.热热流量φ为正表明该表面对外放热。 8.辐射网络图分析时,由于绝热面热流密度为零,所以J R =E bR ,该表面热 阻可以不画出来。 9.Nu=hL/λ,Bi=hL/λ,二者λ的的差异是Nu 中的λ为流体的导热系数, Bi 中的λ为固体的导热系数。 10.肋片在垂直于气流速度方向上开若干切口可以强化对流换热,其原因是减薄边界层厚度。 二.问答及推导题:(共50分) 1. 名词解释:(10分) ① 辐射力:单位表面积物体在单位时间内向半球空间发射得全部波长的能量. ② 速度边界层:把贴壁处速度剧烈变化的薄层称为速度边界层。 ③ 导温系数:c a ρλ= 表示物体内部温度扯平的能力. ④ 饱和沸腾:流体的主体温度达到了饱和温度,壁面温度大于饱和温度时发生的沸腾称为饱和沸腾。 ⑤太阳常数:大气层外缘与太阳射线相垂直的单位表面积所接受的太阳辐射能为1367W/m 2

2.厚度为δ,导热系数为λ,初始温度均匀并为t 0的无限大平板,两侧突然暴露在温度为t ∞,表面换热系数为h 的流体中。试定性画出当Bi=h δ/λ→0、Bi=h δ/λ→∞和Bi=h δ/λ为有限大小时平壁内部和流体中的温度随时间的变化示意曲线。(10分) 3. 根据大容器饱和沸腾曲线,饱和沸腾曲线可分为几个区段?其中那个区段具有温压小,换热强的特点?为什么在沸腾换热中必须严格监视并控制热通量在临界热通量以内?(10分) 答:分为四个区段:自然对流、核态沸腾、过渡沸腾和膜态沸腾。 核态沸腾具有温压小,换热强的特点。———————(5分) 对于依靠控制热流密度来改变工况的加热设备,一旦q >q max ,工况将沿q max 虚线跳至稳定膜态沸腾线,使△t 猛增,导致设备的烧毁。对于控制壁温的设备,可使q 大大减小。———————(5分) 4.已知空心球壁的内外半径为r 1和r 2,球壁的内外表面分别保持恒定的温度t 1和t 2。球壁的导热系数λ为常数。试用傅立叶定律,积分求证空心球壁的导热计算公式为: Q=4πλ(t 1-t 2)/(1/r 1-1/r 2) (10分) 解:由傅立叶定律, 2 121121 22211) (4)(4)11(442121 r r t t Q t t r r Q dt r dr Q dr dt r Q r r t t --=-=-==??πλπλπλλ π

相关主题
文本预览
相关文档 最新文档