当前位置:文档之家› 秒脉冲产生电路模块设计

秒脉冲产生电路模块设计

秒脉冲产生电路模块设计
秒脉冲产生电路模块设计

2.3.3 秒脉冲产生电路模块设计

2.3.3.1 电路模块的作用

该模块的作用是将10kHz时钟信号经过10000分频得到1Hz的秒脉冲时钟信号,做为秒计数电路模块的输入时钟信号。因此,该模块有2个端口,输入端口为clk_10kHz,输出端口为clk_1Hz。

2.3.3.2 设计思路

输入的时钟信号频率为10kHz,周期为0.01ms;输出的时钟信号频率为1Hz,周期为1s;由此可看出,输出信号的频率比输入信号的频率降低了10000倍,因此周期提高了10000倍;因此在编写程序代码时,设计一个中间计数器jsq,目的是对输入信号进行计数;当计数器jsq从0计数到4999时,计数了5000次,输出信号持续为高电平;而当计数器jsq从5000计数到9999时,同样也计数了5000次,然后输出信号持续为低电平;由此可以得知输出的信号周期为输入信号的10000倍,从而实现了10000分频的目的,并使得输出信号的占空比为50%。

2.3.3.3 程序代码及代码解析

1. 模块声明

module FDIV(clk_1Hz,clk_10kHz); /*模块声明*/

。。。。。。

endmodule

模块名:FDIV;两个端口:clk_1Hz和clk_10kHz

2. 端口定义:注意输入信号和输出信号的位宽

input clk_10kHz; /*输入端口定义*/

output clk_1Hz; /*输出端口定义*/

3. 数据类型说明

reg clk_1Hz; /* clk_1Hz为寄存器型变量*/

reg[13:0] jsq; /*jsq为中间计数器变量*/

由于电路中需要一个计数器来计数,因此定义了一个中间变量jsq,且数据类型为寄存器型(reg型),该计数器能从0计数到9999(10000次),故位宽为14位。

4. 逻辑功能描述

(1)代码一:

always @(posedge clk_10kHz)

begin

if(jsq<4999)

begin jsq<=jsq+1;clk_1Hz<=1; end

else if(jsq==9999)

begin jsq<=0; clk_1Hz<= 0; end

else begin jsq<=jsq+1; clk_1Hz<= 0; end

end

代码解析:

每当输入信号clk_10kHz 的上升沿到来时,执行always 中的begin -end 块内语句。

(1)若计数器jsq 的数值是否小于4999,执行第一个if 语句中的begin -end 块内语句:① “jsq<=jsq+1”,表示将计数器jsq 数值加1;② “clk_1Hz<=1”,表示输出信号clk_1Hz 的值为高电平“1”;

(2)若计数器jsq 的数值等于9999,则执行else if 语句中的begin -end 块内语句:① “jsq<=0”,表示将计数器jsq 清零;② “clk_1Hz<=0”,表示输出信号clk_1Hz 的值为低电平“0”;

(3)若计数器jsq 的数值满足条件:99994999<≤jsq ,则执行最后一条else 语句中的begin -end 块内语句:① “jsq<=jsq+1”,表示将计数器jsq 数值加1;② “clk_1Hz<=0”,表示输出信号clk_1Hz 的值为低电平“0”;

由此可以看出:输入信号clk_10kHz 每经过一个周期,则计数器jsq 就计数一次,当计数器从0计数到4999时,共计数5000次,输出信号持续为低电平“0”; 当计数器再从5000计数到9999时,也计数5000次,输出信号持续为高电平“1”;因此输出信号clk_1Hz 的周期为输入信号的10000倍(即1s ),频率为1Hz 。

(2)代码二:

always @(posedge clk_10kHz)

begin

if(jsq==4999) begin jsq<=0; clk_1Hz<=~clk_1Hz; end

else begin jsq<=jsq+1; end

end

代码解析:

每当输入信号clk_10kHz 的上升沿到来时,执行always 中的begin -end 块内语句。

(1)先执行if 语句,判断计数器jsq 的数值是否为4999,若为4999,则执行if 中的begin -end 块内语句:① “jsq<=0”,表示将计数器jsq 清零;② “clk_1Hz<=~clk_1Hz ”,表示将上一轮的输出信号clk_1Hz 数值取反重新赋值给本轮的clk_1Hz ;

(2)若计数器jsq 的数值若不为4999,则执行else 语句,执行else 中的begin -end 块内语句:“jsq=jsq+1”,表示将计数器jsq 数值加1。

由此可以看出:输入信号clk_10kHz 每经过一个周期,则计数器jsq 就计数一次,当计数器第一次从0计数到4999时,共计数5000次,输出信号持续为高电平“1”(或低电平“0”); 当计数器第二次从0计数到4999时,计数5000次,输出信号持续为低电平“0”(或高电平“1”);如此循环下去,因此输出信号clk_1Hz 的周期为输入信号的10000倍(即1s ),频率

为1Hz。

2.3.3.4 操作步骤

1. 首先建立工程文件夹。在D盘根目录下,建立新文件夹,取名为FDIV,路径为D:\FDIV。

2. 打开QuartusII9.0软件。选择“开始”→“所有程序”→“Altera” →“QuartusII9.0” →“QuartusII9.0(32bit)”菜单命令,打开“QuartusII9.0”窗口(注:也可用鼠标双击桌面快捷方式打开软件)。

3. 打开文本输入编辑器窗口。选择菜单栏“File”→“New…”菜单命令,打开建立新文件窗口,如图1-18所示。选择“Design Files”选项中的“Verilog HDL File”,点击窗口下方的“OK”按钮,出现图1-19所示的窗口。

图2-18 创建Verilog HDL文件

图2-19 文本输入编辑器窗口

4. 输入编写的源程序,保存文件。点击QuartusII窗口的工具栏中的图标“”,将原理图文件保存在路径D:\FDIV下,文件的名字为FDIV.v,如图2-20所示。

图2-20 保存文本文件FDIV.v

7. 创建工程。在保存的同时,会弹出建立工程的对话框,按照项目一中的操作步骤把工程FDIV建立完毕。

8.全程编译。点击工具栏中的“”按钮,启动全程编译。

9.功能仿真

(1)打开波形编辑器。选择菜单栏“File”→“New…”命令,在New窗口中选择“Verification” 选项中的“Vector Waveform File”,点击“OK”按钮。

(2)设置仿真时间区域。选择菜单栏“Edit” →“End time…”命令,在弹出的窗口中的Time栏处输入5,单位选s,表示整个仿真的时间为5s,如图2-21所示,点击“OK”按钮。

图2-21 设置仿真时间区域

(3)添加工程FDIV中的端口节点。选择菜单栏“View” →“Utility Windows” →“Node Finder”命令,在弹出的对话框中的“Filter:”框中选“Pins:all”,然后点击“List”按钮,可以发现在Nodes Found中显示出工程judge中所有的端口名,将输入端口clk_10kHz和输出端口clk_1Hz,拖到波形编辑器中,如图2-22所示。

图2-22 添加工程FDIV中的端口节点

(4)输入端口赋值。在图2-22的窗口中用鼠标左键选中信号clk_10kHz,使之变成蓝

色,再点击左边工具栏中的时钟设置按钮“”;在弹出的Clock设置窗口中设置信号的时钟周期为100μs,占空比Duty cycle默认为50%,如图2-23所示。

图2-23 输入信号clk_10kHz赋值的参数

点击工具栏中的“”进行保存,文件名默认为“FDIV.vwf”。

图2-24 波形编辑器中的输入信号clk_10kHz的波形

(5)仿真器参数设置。选择菜单栏“Assignmeng” →“Settings”命令,在弹出的对话框中,点击左边的“Simulation settings”选项,在右侧的“Simulation mode”栏中选择“Functional”,“Simulation input”中选择默认的仿真激励文件“FDIV.vwf”,点击“OK”按钮。

(6)启动仿真器。

选择菜单栏“Processing” →“Generate Functional Simulation Netlist”命令,产生网表文件。点击工具栏中的“”按钮,也可以启动仿真。

仿真结束后,会自动弹出仿真结果,如图2-25所示。

(a)功能仿真波形图(一)

(b)功能仿真波形图(二)

(c)功能仿真波形图(三)图2-25 功能仿真波形图

脉冲波形的产生和整形习题解答

自我检测题 1.集成单稳触发器,分为可重触发及不可重触发两类,其中可重触发指的是在 暂稳态期间,能够接收新的触发信号,重新开始暂稳态过程。 2.如图T6.2所示是用CMOS 或非门组成的单稳态触发器电路, v I 为输入触发脉冲。指出稳态时a 、b 、d 、 e 各点的电平高低;为加大输出脉冲宽度所采取的下列措施哪些是对的,哪些是错的。如果是对的,在( )内打√,如果是错的,在( )内打×。 (1)加大R d ( ); (2)减小R ( ); (3)加大C ( ); (4)提高V DD ( ); (5)增加输入触发脉冲的宽度( )。 v I v O V 图 P6.2 解:(1)×(2)×(3)√(4)×(5)× 3.四个电路输入v I 、输出v O 的波形如图T6.3所示,试写出分别实现下列功能的最简电路类型(不必画出电路)。 (a )二进制计数器;(b )施密特触发器; (c )单稳态触发器;(d )六进制计数器。 t t v I v t t (a ) v v (b ) t t v I v (c )v I v (d )

图 T6.3 4.单稳态触发器的主要用途是。 A .整形、延时、鉴幅 B .延时、定时、存储 C .延时、定时、整形 D .整形、鉴幅、定时 5.为了将正弦信号转换成与之频率相同的脉冲信号,可采用。 A .多谐振荡器 B .移位寄存器 C .单稳态触发器 D .施密特触发器 6.将三角波变换为矩形波,需选用。 A .单稳态触发器 B .施密特触发器 C .多谐振荡器 D .双稳态触发器 7.滞后性是的基本特性。 A .多谐振荡器 B .施密特触发器 C .T 触发器 D .单稳态触发器 8.自动产生矩形波脉冲信号为。 A .施密特触发器 B .单稳态触发器 C .T 触发器 D .多谐振荡器 9.由CMOS 门电路构成的单稳态电路的暂稳态时间t w 为 。 A . 0.7RC B . RC C . 1.1RC D . 2RC 10.已知某电路的输入输出波形如图T6.10所示,则该电路可能为。 A .多谐振荡器 B .双稳态触发器 C .单稳态触发器 D .施密特触发器 1 v I v o V DD R C G 1 G 2C d R d 图T6.10 11.由555定时器构成的单稳态触发器,其输出脉冲宽度取决于。 A .电源电压 B .触发信号幅度 C .触发信号宽度 D .外接R 、C 的数值 12.由555定时器构成的电路如图T6.12所示,该电路的名称是。 A .单稳态触发器 B .施密特触发器 C .多谐振荡器D .SR 触发器 R C v v O 图 T6.12 习题

秒脉冲发生器

设计题目:秒脉冲发生器的设计 设计小组:第三组

1 秒脉冲发生器整体设计方案 1.1秒脉冲发生设计方案概述 秒脉冲发生器是由100HZ时钟产生电路和分频电路两部分构成,其中100HZ时钟产生电路主要由555定时器组成的时钟电路,主要用来产生100HZ的脉冲信号;分频电路主要由74LS192组成的100进制计数器电路,主要用于将100HZ 脉冲信号分成1HZ脉冲信号。该方案通过了Multisim软件仿真,并得到了1HZ的脉冲信号,基本实现了工程训练的要求。

1.2 秒脉冲发生器整体设计电路设计图 图1 秒脉冲发生器整体设计电路设计图1.3 秒脉冲发生器整体设计电路仿真图 图2 秒脉冲发生器整体设计电路仿真图

2 各分电路的元件介绍及设计方案 2.1 100HZ时钟产生电路 图3 100HZ时钟产生电路 2.1.1元件介绍 555芯片引脚图及引脚描述: 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。

第六章脉冲波形的产生与整形电路数字电子技术习题集

第六章 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭 4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。() 7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()

8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是 产品。 2.施密特触发器具有现象,又称特性;单稳触发器最重 要的参数为。 3.常见的脉冲产生电路有,常见的脉冲整形电路有、。 4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。 四、练习题 1. 如图所示的单稳态触发器电路中,G 1 和G 2 为CMOS 或非门,电源电压V DD =15V 。已知R d = 100k Ω,R = 51 k Ω,C d = 1000pF ,C = 0.01 μ F 。试计算输出脉冲的宽度和幅度。 2. TTL 与非门和二极管D 组成的施密特触发器电路如图所示。已知与非门的V T = 1.1V ,二极管导通压降V D = 0.7V 。试求电路的V T+ 、V T -和回差电压△ V 的值。

顺序脉冲产生电路设计

沈阳航空航天大学 课程设计 (说明书) 顺序脉冲产生电路设计 班级计算机1304 学号2013040101178 学生姓名万延正 指导教师孙克梅

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目顺序脉冲产生电路设计 课程设计的内容及要求: 一、设计说明与技术指标 要求设计一个顺序脉冲产生电路,能将预先设定的并行数据转换为串行脉冲输出,具体要求如下: ①电路具有16个按键用来设定输入16个并行数据的高低电平; ②具有启动按键,每按一次启动键,电路就串行输出预先设定的16个数据; ③输出完16个数据位后电路停止,输出恒为0; ④具有输出信号指示灯,表明输出信号的高低电平,灯亮表示1,不亮表示0; ⑤具有时钟信号指示灯,在每个式中信号周期内闪烁一次。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1.阎石主编.数字电子技术基础.[M]北京:高等教育出版社,2006年 2.赵淑范,王宪伟主编.电子技术实验与课程设计.[M]北京:清华大学出版社,2006年 3.孙肖子、邓建国等主编. 电子设计指南. [M]北京:高等教育出版社,2006年 4.杨志忠主编. 电子技术课程设计. [M]北京:机械工业出版社,2008年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年7 月19 日

一、概述 在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。该顺序脉冲由555定时器产生,用16个开关设定输入16个并行数据的高低电平,每次按键,电路就会串行输出预先设定的16个数,输出完16个数据位后电路停止,输出恒为0。该电路具有输出信号指示灯,灯亮的次数表示输入高电平的个数。在每个周期内,时钟指示灯只闪烁一次。 一、方案论证 根据实验要求,我选取两片74LS165芯片将其串联,74LS165芯片是并行输入, 串行输出移位寄存器。从而实现电路具有16个按键用来设定输入16个并行数据的高低电平。电路主要由顺序脉冲产生电路,移位寄存电路,状态指示电路,电源电路组成。原理图如图1所示: 图1 总电路框架图 二、电路设计 1、时钟脉冲产生电路如图2所示。 图2 时钟脉冲产生电路

脉冲波形的产生与变换

脉冲波形的产生与变换 脉冲信号是数字电路中最常用的工作信号。脉冲信号的获得经常采用两种方法:一是利用振荡电路直接产生所需的矩形脉冲。这一类电路称为多谐振荡电路或多谐振荡器;二是利用整形电路,将已有的脉冲信号变换为所需要的矩形脉冲。这一类电路包括单稳态触发器和施密特触发器。这些脉冲单元电路可以由集成逻辑门构成,也可以用集成定时器构成。下面先来介绍由集成门构成的脉冲信号产生和整形电路。 9.1 多谐振荡器 自激多谐振荡器是在接通电源以后,不需外加输入信号,就能自动地产生矩形脉冲波。由于矩形波中除基波外,还含有丰富的高次谐波,所以习惯上又把矩形波振荡器叫做多谐振荡器。多谐振荡器通常由门电路和基本的RC电路组成。多谐振荡器一旦振荡起来后,电路没有稳态,只有两个暂稳态,它们在作交替变化,输出矩形波脉冲信号,因此它又被称作无稳态电路。 9.1.1门电路组成的多谐振荡器 多谐振荡器常由TTL门电路和CMOS门电路组成。由于TTL门电路的速度比CMOS门电路的速度快, 故TTL门电路适用于构成频率较高的多谐振荡器,而CMOS门电路适用于构成频率较低的多谐振荡器。 (1)由TTL门电路组成的多谐振荡器 由TTL门电路组成的多谐振荡器有两种形式:一是由奇数个非门组成的简单环形多谐振荡器;二是由非门和RC延迟电路组成的改进环形多谐振荡器。 ①简单环形多谐振荡器 uo

(a) (b) 图9-1 由非门构成的简单环形多谐振荡器把奇数个非门首尾相接成环状,就组成了简单环形多谐振荡器。图9-1(a)为由三个非门构成的多谐振荡器。若uo的某个随机状态为高电平,经过三级倒相后,uo跳转为低电平,考虑到传输门电路的平均延迟时间tpd,uo输出信号的周期为6tpd。图9-1(b)为各点波形图。 简单环形多谐振荡器的振荡周期取决于tpd,此值较小且不可调,所以,产生的脉冲信号频率较高且无法控制,因而没有实用价值。改进方法是通过附加一个RC延迟电路,不仅可以降低振荡频率,并能通过参数 R、C控制振荡频率。 ② RC环形多谐振荡器 如图9-2所示,RC环形多谐振荡器由3个非门(G1、G2、G3)、两个电阻(R、RS)和一个电容C组成。电阻RS是非门G3的限流保护电阻,一般为100Ω左右;R、C为定时器件,R 的值要小于非门的关门电阻,一般在700Ω以下,否则,电路无法正常工作。此时,由于RC的值较大,从u2到u4的传输时间大大增加, 基本上由RC的参数决定,门延迟时间tpd可以忽略不计。 图9-2 RC环形多谐振荡器 a.工作原理 设电源刚接通时,电路输出端uo为高电平,由于此时电容器C尚未充电,其两端电压为零,则u2、u4为低电平。电路处于第1暂稳态。随着u3高电平通过电阻R对电容C充电,u4电

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

脉冲波形发生电路设计

脉冲波形发生电路设计一.实验目的 1.学习脉冲波形发生电路的设计方法和调试方法。 2.学习按模块划分电路的设计与调试的方法。二.555内部结构图和芯片引脚图 555内部结构图: 555引脚图:

三.红外发射管和光电三极管的工作原理 1.红外发射管: 红外光发射管具有单向导电性。只有当外加的正向电压使得正向电流足够大时才发射红外光,正向电流越大发光越强,其工作原理图参见图2(a)。此次实验中的R1 建议选取1k?。 2.光电三极管: 光电三极管依据光照强度来控制集电极电流的大小,其功能可等效为一只二极管与一只晶体管相连,并仅引出集电极和发射极,如图3(a)所示。其符号如图3(b)所示,常见外形如图3(c)所示。 有光照射时,光电三极管的集电极电流约在几十微安到几毫安之间,为保证光电三极管的输出电压Vo 可以正确驱动后面的数字IC,合理选取接收电路中R2 的阻值。其应用参考电路参见图2(b)。

四.实验任务及电路图 1.电路原理图 VCC VCC 2.设计思路 首先将555接成单稳态触发器,输出接发光二极管。 然后考虑输入。为了能在物体挡住光超过2秒以上电路仍然能够正常

运行,在输入端接入一个微分电路,保证输入脉宽不超过2秒。 同时因为前方光电三极管的输出电压在有光时为低电平,无光时为高电平,而电路要实现的功能是遮挡时发光二极管,所以在无光时应输入低电平,所以在光电三极管的输出与后方的输入间加了一个反相器。 最后考虑选作任务,首先要让发光三极管在被挡住时,LED一直亮,这个只需去掉微分电路就可以了。但是这样在光线重新照射时LED会马上灭掉,这是因为在遮挡时,555中的三极管是不导通的,所以C2两端是有压差的,即(见555内部结构图),这样在光线重新照射时,输入会跳为高电平,所以=1,Q=0,=0,内部三极管导通,=1,保持0,所以LED就会灭掉。而且是我们不希望出现的情况,因为上述分析是基于门电路的均较长的情况下分析的,实际上这些跳变都是瞬间完成的,所以之后电路的情况并不是能准确预测的。 解决这个问题的思路就是希望能在时,保持1,这样跳变为1之后就有,LED保持亮着,而且经过1~2秒后熄灭。 实现这个功能只需在那一个三极管的c、e端与C2并联,b端接输入即可。 为了在输入为低时三极管导通,选用PNP三极管。 3.参数计算 (1)R2阻值的选取: 为保证在有光时vo输出的是低电平,则R2上的压降应接近5V,以10微安计算,则R2应取100 k?左右。 (2)R3和C3的选取

2013电子设计竞赛复试题波形发生器资料

波形发生器 徐威 (宁波大学信息科学与工程学院,浙江宁波315211) 摘要:使用题目指定的综合测试板上的NE555芯片和一片四运放LM324芯片制作一个频率可变的同时输出脉冲波、锯齿波、一次和三次正弦波。进行方案设计,制作出实际电路使其达到实验要求的各项指标。 一、设计任务与要求 使用题目指定的综合测试板上的NE555芯片和一片四运放LM324芯片,设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路。给出方案设计、详细电路图和现场自测数据及波形。 设计制作要求如下: 1、同时四通道输出、每通道输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为600欧姆。 2、四种波形的频率关系为1:1:1:3(3次谐波);脉冲波、锯齿波、正弦波Ⅰ输出频率范围为8KHz~10KHz,输出电压幅度峰峰值为1V;正弦波Ⅱ输出频率范围为24KHz~30KHz,输出电压幅度峰峰值为9V。脉冲波、锯齿波和正弦波输出波形应无明显失真(使用示波器测量时)。 频率误差不大于10%;通带内输出电压幅度峰峰值误差不大于5%。脉冲波占空比可调整。 3、电源只能选用+10V单电源,由稳压电源供给,不得使用额外电源。 4、要求预留脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ和电源的测试端子。 5、每通道输出的负载电阻600欧姆应标清楚、至于明显位置,便于检查。 6、翻译:NE555和LM324的数据手册(器件描述、特点、应用、绝对参数、电参数)。 二、方案设计与论证 1.原始方案: 在使用Multisim进行仿真设计的阶段,我想出了两种原始方案,两种方案的大体思路如下。 方案一:使用NE555芯片构成多谐振荡器,输出方波,通过锯齿波发生电路产生

实验8 脉冲信号产生电路

实验8 脉冲信号产生电路 一、实验目的 1. 掌握用基本门电路构成多谐振荡器的方法。 2. 熟悉单稳态触发器的工作原理和参数选择。 3. 熟悉施密特触发器的脉冲整形和应用。 二、实验原理 脉冲信号产生电路是数字系统中必不可少的单元电路。如同步信号、时钟信号和时基信号等都由它产生。产生脉冲信号的电路通常称为多谐振荡器。它不需信号源,只要加上直流电源,就可以自动产生信号。脉冲的整形通常应用单稳态触发器或施密特触发器实现。 脉冲信号的产生与整形可以用基本门电路来实现。现在已经有集成单稳态触发器、集成施密特触发器。另外用555 定时器也可以产生脉冲或实现脉冲整形。本实验主要研究用基本门电路组成的脉冲产生和整形电路。 1. 多谐振荡器 (1) TTL 门电路构成的多谐振荡器 由于 TTL 门电路 速度快,它 适宜于产生 中频段脉冲 源,图2.8.1 是由TTL 反向器构成的全对称多谐振荡器,若取C1= C2 = C,R1= R2= R,则电路完全对称,电容充放电时间相等,其振荡周期近似为T=1.4 RC。一般R1、R2的取值不超过1K,若取R1= R2 = 500Ω ,C1= C2=100pF~100μF,则其振荡频率的范围为几十赫到几十兆赫。 (2) 环形多谐振荡器 图 2.8.2 是用TTL 与非门构成的环形多谐振荡器,图中取R1=100Ω ,R W在2kΩ ~50kΩ之间变化,可调电容C的变化范围是100pF 到50μF,则振荡频率可从数千赫变到数兆赫。电路的振荡周期为T= 2.2 RC,其中R = R1+R W。

(3) 晶体振荡器 用TTL 或CMOS 门电路构成的振荡器幅度稳定性较好,但频率稳定性较差,一般只能达到10-2~10-3数量级。在对频率的稳定度、精度要求高的场合,选用石英晶体组成的振荡器较为适合。其频率稳定度可达10-5以上。图2.8.3 是用CMOS 芯片CD4069 和 晶体构成的多谐振荡器,C o一般取20pF。C S取10~30pF,其输出频率取决于晶体的固有振荡频率。 2. 单稳态触发器 稳态触发器的特点是它只有一个稳定状态,在外来脉冲的作用下,能够由稳定状态翻转到暂稳态。暂稳态维持一段时间TW 以后,将自动返回到稳定状态。TW大小与触发脉冲无关,仅取决于电路本身的参数。单稳态触发器一般用于定时、整形及延时等。单片集成的单稳态触发器有74LS122,CC4098 等。 图 2.8.4 是用与非门构成的微分型单稳态触发器,其输出脉冲宽度为:Tw= 0.8RC。 3. 施密特触发器 施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。一旦外触发电平下降到一定电平 后,电路 立即恢复 到初始稳 态。其工

高压脉冲产生电路图

高压脉冲产生电路图 如图所示是由7位二进制计数器/分频器CD4024、四2与非门集成电路CD4011、六反相器CD4069、12位二进制计数器/分频器集成电路CD4040以及模拟开关CD4066等组成的高压脉冲产生电路图,该电路主要应用于脉冲点火器及治疗仪中。高压脉冲产生电路该电路由电源电路、脉宽控制电路、多谐振荡器、倒相电路、脉冲群间隔控制电路、输出驱动电路和输出控制电路等组成。(1)电源电路是由熔断器FU1及FU2、电源开关K1、电源变压器 如图所示是由7位二进制计数器/分频器CD4024、四2与非门集成电路 CD4011、六反相器CD4069、12位二进制计数器/分频器集成电路CD4040以及模拟开关CD4066等组成的高压脉冲产生电路图,该电路主要应用于脉冲点火器及治疗仪中。 高压脉冲产生电路 该电路由电源电路、脉宽控制电路、多谐振荡器、倒相电路、脉冲群间隔控制电路、输出驱动电路和输出控制电路等组成。 (1)电源电路是由熔断器FU1及FU2、电源开关K1、电源变压器T1、整流桥堆UR、滤波电容器C8及C7、限流电阻器R8、电源指示发光二极管VL1和三端集成稳压器LM7805等组成。 (2)多谐振荡器是由CD4011内部的两个与非门电路A10及A7、石英晶振BC、电容器C6和电阻器R16组成。 (3)倒相电路是由CD4069内部的非门电路A1~A5组成。

(4)脉冲控制电路是由CD4040和D2内部的两个与非门电路A8及A9组成。 (5)脉冲群间隔控制电路是由CD4024、选择开关V和六非门集成电路D5内部的非门电路A6组成。 (6)输出控制电路是由CD4066、按钮K3、电阻器R11及R10和电容器C1等组成。 (7)输出驱动电路是由晶体管V1~V4、脉冲升压变压器T2及T3、电位器RP1及RP2、电容器C2及C3、电阻器R1及V和发光二极管VL2及VL3组成。 电源开关K1接通后,220V交流电压通过T1降压、UR整流及C8滤波后,产生9V直流电压,该电压一路经D1稳压为+5V,作为D2~D6的工作电压,一路直接供给输出驱动电路。其中+5V电压还经R8限流后将VL1点亮。 多谐振荡器振荡工作后,从D2的3脚输出低频振荡信号,此信号加至脉宽控制电路中D3的/C/P端进行分频处理。经D3分频处理后的脉冲信号分为两路:一路脉冲信号从D3的Q9端输出,经脉冲群间隔控制电路中的D4再次分频后,从D4的3脚、4脚和5脚分别输出不同频率的脉冲信号;另一路从D3的3脚和5脚输出,经D2内部的与非门电路A9和A8选通处理成窄脉冲信号后,加至D6的10脚。由选择开关0从D4的3~5脚输出的三种信号中选择出某一种频率的脉冲信号,再经D5内部的非门电路缓冲整形及倒相处理后,产生两路相位相反的脉冲群间隔控制信号,分别加至D6的6脚和12脚。D6内部的模拟开关S1和S3在D5的8脚和6脚脉冲信号的控制下,间断地接通与关闭。D6的8脚和11脚外接的两路输出驱动电路在模拟开关S1和S3的控制下,工作在开关状态。脉冲升压变压器交替产生高压脉冲串。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

CD4060秒脉冲的产生电路

CD4060秒脉冲产生电路 一摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。诸如按时自动打铃,时间程序自动控制,定时启闭路灯,定时开关烘箱,通断动力设备,甚至各种定时电气的的自动启用等。这些都是以数字时钟作为时钟源的。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 经过了数字电路设计这门课程的系统学习,特别经过了关于组合逻辑电路与时序逻辑电路部分的学习,我们已经具备了设计小规模集成电路的能力,借由本次设计的机会,充分将所学的知识运用到实际中去。 二主要技术指标 1.设计一个有时、分、秒(23小时59分59秒)显示的电子钟 2.该电子钟具有手动校时功能 三方案论证与选择 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号的频率较高,因此,需要进行分频,使高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1HZ)。经过分频器输出的秒脉冲信号到计数器中进行计数。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。此时需要分别设计60进制,24进制计数器,各计数器输出信号经译码器到数字显示器,使“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何计时装置都有误差,因此应考虑校准时间电路。校时电路一般采用手动调整。手动调整可利用手动的节拍调准显示时间。 CD4060由一振荡器和14级二进制串行计数 器位组成,振荡器的结构可以是RC或晶振电路,CR为高电平时,计数器清零且振荡器使用无效。所有的计数器位均为主从触发器。在CP1(和CP0)的下降沿计数器以二进制进行计数。在时钟脉冲线上使用斯密特触发器对时钟上升和下降时间无限制。 CD4060引脚图:

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

秒信号发生器电路图两个

秒信号发生器电路图两个 秒信号发生器: 下面介绍的秒信号发生器可用在LED数字钟中,为数字钟提供秒基准信号。字串7 附图1电路由14位二进制串行计数器/分频器和振荡器 CD4060、BCD同步加法计数器CD4518构成的秒信号发生器。 电路中利用CD4060组成两部分电路。一部分是14级分频器,其最高分频数为16384;另一部分是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz的振荡器。震荡器输出经14级分频后在输出端Q14上得到1/2秒脉冲并送入由1/2 CD4518构成的二分频器,分频后在输出断Q1上得到秒基准脉冲。 检验电路是否工作,可测量CD4060的9脚有无振荡信号输出。调整微调电容可校准振荡频率。 附图2是另一款秒信号发生器电路。它由双BCD同步加计数器CD4518、四输入端与非门CD4011和四2输入端或非门CD4001等构成。 电路中利用CD4060组成两部分电路。一部分是14级分频器,

其最高分频数为16384;另一部分是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz的振荡器。震荡器输出经14级分频后在输出端Q14上得到1/2秒脉冲并送入由1/2 CD4518构成的二分频器,分频后在输出断Q1上得到秒基准脉冲。 检验电路是否工作,可测量CD4060的9脚有无振荡信号输出。调整微调电容可校准振荡频率。 电路中,由CD4011门I构成晶体振荡电路产生的1MHz脉冲信号,经反相器门II送至由CD4518构成的多级计数分频器。其中第一级10分频后输出为100KHz,第二级输出为10KHz,第三级输出为1000Hz,第四级输出为100Hz、第6级输出为1Hz。 由CD4011的门III、IV构成R-S触发器和CD4001的一个门组成了秒信号控制门。单允许工作开关K3置“开”位置时,允许输出秒信号;置“关”位置时,禁止输出秒信号。走时、校准开关K2置“走时”位置时,输出秒信号;置“校准”位置时,输出校准信号。若秒信号与标准时间相差较大,把K1置“快校”位置,送出10KHz信号;若接近标准时间,则置“慢校”位置,送出100Hz信号。

脉冲波形发生电路的设计

1实验目的 1.学习脉冲波形发生电路的设计方法和调试方法。 2.学习按模块划分电路的设计方法和调试方法。 2电路设计 图1:电路设计 2.1输入电路 电路图的最左侧是输入电路,R1=56kΩ,是预习时按照100μA选取的,经过实验验证可以正常工作。输出端有一个施密特反相器,是为了给波形整形,因为光电三极管的输出是模拟量,往往会有噪声,施密特反相器就能使得波形变为陡峭的方波,提高电路的稳定性。 2.2微分电路 输入电路的右侧是微分电路,作用是从输入电路端取边沿,输出尖峰脉冲,以触发后一级的单稳态电路。稳态时,若突然有物体遮挡光电三极管,电容左端由高电平跳变到低电平,但是电容两端的电压不能突变,所以右端的电压也会跳变成低电平,形成负的尖峰脉冲,此后很快电容就会充电回到高电平。如果没有微分电路,若物体一直遮挡着光电三极管,555定时器接成的单稳态电路就会一直处于暂稳态,这是不符合要求的。

2.3单稳态电路 单稳态电路在电路的最右侧,由555定时器接成。稳态时,输入端TRI处于高电平,输出OUT、DIS都是低电平,且C3上无电荷。触发时,TRI端收到一个负的尖峰脉冲,输 V CC时放电,且输出端回到出端OUT瞬间变为高电平,LED灯亮,同时C3充电,至2 3 低电平,回到稳态。 2.4放电电路 选做任务中要求电路能重复触发,就需要在触发时给C3放电,从而能重新计时。由于实验箱中只有NPN型三极管,故需要将触发信号经过施密特反相器后再连接到三极管基极,这样还能对信号进行整形,提高质量。当触发信号来临时,三极管就会导通,C3迅速放电。 2.5计数电路 利用74HC161改接成的十进制计数器进行计数,计数信号经过施密特反相器后,再次反相,作为时钟信号。为了完成选做任务,还需将555定时器的输出端连到74HC161的异步置零端,以实现所有物体经过后计数置零的目的。 3波形分析 3.1输入电路波形 遮挡红外发射管若干次,可以得到如图2的波形。可以看出黄色的光电三极管的输出波形虽然都是正脉冲,但是波形很不理想,毛刺较多;经过施密特反相后的绿色波形则十分规整,毛刺很少,基本上都是方波了,适合作为后一级的输入。 图2:输入电路波形

脉冲波形的产生和整形

脉冲波形的产生和整形 【本章主要内容】本章主要介绍矩形脉冲波形的产生和整形电路。在脉冲整形电路中,介绍两类最常用两类整形电路─施密特触发器和单稳态触发器;在脉冲振荡电路中,介绍多谐振荡电路。上述电路可以采用门电路构成,也可以采用555集成定时器构成。重点讨论555集成定时器的工作原理及其应用。 【本章学时分配】本章共分2讲,每讲2学时。 第二十八讲用门电路组成的脉冲波形产生与整形电路 一、主要内容 1、基础知识 脉冲在数字电路中应用极为普遍,它的获取和分析是数字电路的一个组成部分。 1)矩形脉冲的获取方法 a.利用各种形式的多谐振荡器电路直接产生所需要的矩形脉冲; b.通过各种整形电路把已有的周性变化波形变换为符合要求的矩形脉冲。 2)矩形脉冲的主要参数 为了定量描述矩形脉冲的特性,通常为了定量描述矩形脉冲的特性,通常给出P308图9.1中所标注的几个主要参数。这些参数是: 脉冲周期T—周期性重复的脉冲序列中,两个相邻脉冲之间的时间间隔。有时也使用频率f=1/T表示单位时间内脉冲重复的次数。 V m—脉冲电压的最大变化幅度。 脉冲幅度 V m起,到脉冲后沿到达0.5V m为止的一段时间。 脉冲宽度t w—从脉冲前沿到达0.5 t r——脉冲上升沿从0.1V m升到0.9V m所需要的时间。 上升时间 t f——脉冲下降沿从0.9V m下降到0.1V m所需要的时间。 下降时间 t w/T。 占空比q——脉冲宽度与脉冲周期的比值,亦即q= 2、用门电路组成的施密特触发器 1)施密特触发器的工作特点 a.输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。电路有不同的阈值电压,即具有滞后的电压传输特性。 b.在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变得很陡。 利用这两个特点不仅能将边沿变化缓慢的信号波形整形为边沿陡峭的矩形波,而且可以将叠加在矩形脉冲高、低电平上的噪声有效地清除。 2)滞后的电压传输特性 滞后的电压传输特性,即输入电压上升的过程中,电路状态转换时对应的输入电平,与输入电压的下降过程中对应的输入转换电平不同(阈值电平不同),这是施密特触发器固有的特性。 上升时的阈值电压V T+称为正向阈值电压,下降时的阈值电压V T—称为负向阈值电压,它 们之间的差值称为回差电压△V T。 3)用门电路组成的施密特触发器的工作原理 将两级反相器串接起来,同时通过分压电阻把输出端的电压反馈到输入端,就构成了P309图9.2(a)所示的施密特触发器电路。 a.分析v I从0逐渐升高并达到v’I=V T+引发的正反馈过程;

脉冲波形发生器的设计

一、设计要求、目的 1、目的 1、学习数字电路中计数器、译码器、数据选择器、寄存器、分频电路、555定时器、等单元电路的综合运用。 2、熟悉脉冲波形的产生和变换的原理。 3、了解简单数字系统实验、调试的方法。 2、设计要求 1、用555定时器和阻容元件构成一个多谐振荡器,要求震荡频率为1Hz。 2、用74163构成6分频电路,要求输入时钟为1Hz,输出信号频率为0.1666666Hz,脉宽与输入时钟相同。 3、利用1、2题的结果,再加8选1数据选择器(74151)构成一个序列信号发生器,要求循环产生011010序列码。 4、利用题1产生的时钟,再加74163计数器和74138译码器构成8路脉冲分配器。 二、参考元器件 二进制计数器(74163)、双向移位寄存器(74194)、3-8译码器(74138)、555定时器、8选1数据选择器(74151)、电阻:10k~100k两个(阻值自选)、电容0.01uf和10uf各一个、门若干 三、方案选择与论证 方案(一):由555定时器和外接元件R1、R2、C构成多谐振荡器,脚2与脚6直接相连。为了提高定时器的比较电路参考电压的稳定性通常在5脚与地之间接有0.01μf的滤波电容,以消除干扰.电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号,利用电源通过R1、R2向C充电,以及C通过R2向放电端Ct放电,使电路产生振荡。电容C在1/3VCC和2/3VCC之间充电和放电,其波形如图15—3(b)所示。输出信号的时间参数是T=twl+tw2,twl=0.7(R1十R2)C,tw2=0.7R2C。555电路要求Rl与R2均应大于或等于1KΩ,但R1+R2应小于或等于3.3MΩ。 外部元件的稳定性决定了多谐振荡器的稳定性,555定时器配以少量的元件即可获得较高精度的振荡频率和具有较强的功率输出能力。 多谐振荡器 计数器可以对计数脉冲分频,改变计数器的模便可以改变分频比。根据这个原理,可以用集成计数器构成分频比可变的分频器,即可编程分频器.74163是具有同步清零功能的4位二进制同步加计数器.逻辑引脚图中Rd 是异步清零端,LD是预制数控制端.A B C D是预制数据输入端,EP 和ET是计数使能控制端,它具有同步清零和同步并行预制数功能,在构成六分频电路中我用的是它的同步清零功能.通过利用多个与非门来构成六分频电路. 74151是一种典型的集成电路数据选择器,它有3个地址输入端CBA可选择D0~D7 八个数据源,具有两个互补输出端,同相输出端Y和反相输出端W,本次设计实现并行数据到串行数据的转换,电路由8选

相关主题
文本预览
相关文档 最新文档