当前位置:文档之家› 简易洗衣机控制器的设计

简易洗衣机控制器的设计

简易洗衣机控制器的设计
简易洗衣机控制器的设计

课程设计简易洗衣机控制器的设计

班级 / 学号

学生姓名

指导教师

课程设计任务书

课程名称模拟与数字电子技术课程设计

院(系)专业

班级学号姓名

课程设计题目简易洗衣机控制器的设计

课程设计时间: 年月日至年月日

课程设计的内容及要求:

一、设计说明

设计一个电子定时器,用以控制洗衣机电机的运转。洗衣机电机的工作顺序:启动——>正转30s ——> 暂行10s——> 反转30s—— >暂行10 s——>停止

二、技术指标

1.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警;

2.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转;

3.设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。

4.设计本电路所用的直流电源。

三、设计要求

1.在选择器件时,应考虑成本。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求

1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料

1.阎石著. 数字电子技术基础[M].北京:高等教育出版社,2005年

2.童诗白、华成英著. 模拟电子技术基础[M]. 北京:高等教育出版社,2006年

3.赵淑范、王宪伟著.电子技术实验与课程设计[M].北京:清华大学出版社,2006年

4.孙肖子、邓建国著.电子设计指南[M].北京:高等教育出版社,2006年六、按照要求撰写课程设计报告

指导教师年月日

负责教师年月日

学生签字年月日

成绩评定表

一、概述

课设要求设计一个电子定时器,用以控制洗衣机电机的运转。洗衣机电机的工作顺序:启动——>正转30s ——> 暂行10s——> 反转30s—— >暂行10 s

——>停止。

二、方案设计

根据前面课程要求,设计一个洗衣机控制电路,实现电机的正转、反转、暂停。显示时间前30秒正转、暂停10秒、反转30秒、再暂停10秒,这样可以使用秒位的计时来控制电机的运转。因为电路中包含分钟计时器和秒钟计时器,所以使用计数器构成60进制;此外,当洗涤结束时,需要进行报警,当使用者按下停止键时停止报警。具体设计方案如图1所示。

图1 洗衣机控制电路的原理框图

定时按钮,启动/停止按钮、暂停/继续按钮分别使用微动开关来实现定时、启动、停止、暂停、继续功能。时钟信号发生器采用555芯片,产生时间周期为1秒的周期脉冲。本设计方案可以使用JK 触发器一直报警,按下停止按钮后结束报警。亦可使用单稳态电路,通过555芯片实现短时间报警,这里选择JK 触发器报警。循环显示电路由移位寄存器来实现洗衣机运转状态的显示。60进制倒计时器由两片74Ls192芯片构成,个位芯片的借位端与十位芯片的减计数端相连接,十位芯片的借位端与自己的~LOAD 相连接,而且十位芯片的输入端A 、B 、C 、D 分别接低电平、高电平、高电平、低电平,即二进制的0110,这样就构成了60进制倒计时器,个位芯片的减计数端是时钟信号的输入端。

三、电路设计

1.60进制倒计时电路:

图 2 倒计时电路图

倒计时电路由四片74Ls192构成,分别为:U1、U2、U3、U4。图2中U1、U2构成六十进制分倒计时电路,U3、U4两片构成六十进制秒倒计时电路。两个JK触发器U11A、U11B分别实现电路的启动/停止、暂停/继续功能。倒计时

电路的计时速度由输入的时钟信号决定,因为输入给U4的时钟信号的周期为1S,所以U4每变化一个数都是1S。微动开关J1为定时按钮,可以实现0-60分钟的时间设定,每按一下,触发信号输入到U2,分位会加1。J2为启动/停止按钮,第一次触发JK触发器U11A输出端~1Q由0变为1,当与门U13A一端输入1时,另一端不论输入为何值,都不会对输出有影响,因此时钟信号可以通过,为启动;第二次触发时,U11A的~1Q端变为0,当与门一端输入0时,另一端不论输入为何值时,都输出0,所以与门U13A输出0,为停止,同时U11A 的1Q端输出1对秒计时U3、U4进行清零,分计时清零是由U14的74Ls192来发出对U1、U2清零信号的。J3为暂停按钮,J3第一次触发JK触发器U11B,2Q端输出由1变为0,通过与门U13B,与时钟信号并联,与门一端输入0时,另一端不论输入为何值,都输出0,因此时钟信号无法通过,电路状态为暂停;第二次触发U11B,2Q端由0变为1,与门U13B导通,为继续。

注:图2中555芯片是用来消除开始运行时十秒位U3乱码而加入的。

2.时钟信号发生电路:

时钟信号输出

图3 时钟信号发生器的电路图

此电路是用来产生时钟信号的,时钟信号发生器的振荡周期为:

T = 0.7(R3 +2R4)C3(1-1)当T = 1S 时,选择R3= 10kΩ, R4 = 70 kΩ,C3 = 10uF,得

T = 1.05S

3.JK触发器报警电路:

触发信号

图4 报警电路图

当电路运行时JK触发器~1Q端输出0,倒计时时间到0,触发信号输入到JK触发器,输出端~1Q输出1,蜂鸣器报警;听到报警后按下图2中J1“启动/停止”按钮,U12A输出端~1Q恢复到0状态,停止报警。

4.直流5V稳压电源:

+

_

图5 5V直流电源电路图

交流220V电源经过变压器T1输出6V交流电源,然后经过整流桥输出直流电源,最后由集成三端集成稳压器LM7805输出稳定的5V直流电源。

5.洗衣机工作状态显示电路:

时钟信

号输入

U4的~BO

图6 循环显示的电路图

U20的UP端与74Ls192芯片U4的借位端~BO相连,即:U4每十秒会输出一个借位信号。这个借位信号输入到U20的UP端后,U20会从0开始计时,每输入一个借位信号,U20会加1,由课设任务书知道每80秒循环一次,因此U20从0到7八个数不断循环。当输出0时移位寄存器U22的S0为1,S1为1,此时四个二极管一同闪烁表示暂停状态;当输出1、2、3时S0为1,S1为0,此时四个二极管依次从左向右递进式发光,表示洗衣机正转;当输出4时S0为1,S1为1,此时四个二极管一同闪烁表示暂停状态;当输出5、6、7时S0为0,

S1为1,此时四个二极管依次从右向左递进式发光,表示洗衣机反转。当U20输出7后UP端又收到U4的借位信号~BO后,U20的QD输出1进入到CLR端,对计数器清零,实现一个完整的循环。

四、性能的测试

1. 时钟信号电路测试:

图7 脉冲发生器的脉冲周期波形图

图7中X = 200 ms/Div,Y = 5 V/Div。X表示横向每格所代表的的时间为200ms,Y表示纵向每个格所代表的电压为5V。

2. JK触发器报警电路测试:

表2 JK触发报警电路测试数据

3. 直流5V稳压电源测试:

表3 直流5V稳压电源测试数据

图8 直流稳压电源的输出波形图

图8是设计的直流稳压电压源输出波形的示意图,可以直观地显示所设计的电源的输出波形。

4. 洗衣机工作状态显示电路测试:

五、结论

本设计方案达到了课程设计书的要求及技术指标,可以设定运转时间,实现“启动——>正转30s ——> 暂行10s——> 反转30s——>暂行10 s——>停止”的运转过程,以及倒计时到0时报警。同时本电路也可以显示当前洗衣机工作状态,使电路运行更加直观明了。

六、性价比

本电路所使用的芯片都是比较常见的,而且价格也是比较便宜的。最终很好地用这些芯片实现了课设所要求的技术指标,同时可以显示洗衣机的运转。可以

说,此设计方案的性价比很高。

七、课设体会及合理化建议

通过本次课设,加深了我对电子的兴趣,熟练地掌握了几种芯片的使用,激发了我的兴趣,去尝试设计其他不同功能的电路。短短的两周让我学到了很多,将课堂上的东西运用到实际生活中来,使学到的知识得到实践的机会,将知识掌握的更加牢固。经历了许多课设,我还是感觉电子课设是最有意思的,当成功设计出想要的电路时,真的很兴奋!我希望多加一些类似的,贴近生活的课程活动,这样不仅能锻炼我们的能力,也能提高我们对课程的兴趣。

参考文献

1.阎石著. 数字电子技术基础[M].北京:高等教育出版社,2005年

2.赵淑范、王宪伟著.电子技术实验与课程设计[M].北京:清华大学出版社,2006年

3.李月乔.电子技术基础[M].北京:中国电力出版社,2010年

附录II 元器件清单

数电课设 简易洗衣机控制电路

课程设计任务书 学生姓名: 专业班级: 信息sy1101 指导教师: 工作单位: 信息工程学院 题 目: 简易洗衣机控制电路的设计与实现 初始条件:zzzzzzz 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示定时时间。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个电子定时器,控制洗衣机按如下洗涤模式进行工作: 2)当定时时间达到终点时,一方面使电机停机,同时用指示灯提醒用户。 3)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 4)用三只LED 灯表示“正转”、“反转”和“暂停”3个状态。 5)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。画出电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、 2013 年 5 月 16 日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、 2013 年 6 月 25 日至 2013 年 6 月 28 日,方案选择和电路设计。 3、 2013 年 6 月 29 日至 2013 年 7 月 3 日,电路调试和设计说明书撰写。 4、 2013 年 7 月 5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名: 年 月 日 停机

目录 摘要 (1) Abstract (2) 1.概述 (3) 1.1设计任务和要求 (3) 1.2设计的总体思路 (3) 2.总体方案及原理框图 (4) 2.1方案提出 (4) 2.2方案分析 (5) 3.单元电路设计与参数的计算 (6) 3.1 秒脉冲发生器 (6) 3.2 分秒计时器 (7) 3.3 数码显示器 (9) 3.4电机控制电路 (10) 3.5 报警电路 (13) 4、总体电路 (17) 5. 心得体会 (19) 附录一参考文献 (20) 附录二元件清单 (21) 附录三实物图 (22)

简易洗衣机控制课程设计

洗衣机控制器设计 一.设计要求 启动—→正转20s —→暂行10s—→反转20s—— (定时未到) —→暂行10 s———(定时到)——→停止 如果定时时间到,则停机并发出音响信号。 (1).采用中小规模集成芯片设计制作一个电子定时器,按照一定的洗涤程序控制电机作正向和反向转动。 (2).电机用2个继电器控制,洗涤定时时间在0—20min内由用户任意设定。(3).用两位数码管显示洗涤的预置时间,按倒计时方式对洗涤过程作计时显示,直至时间到而停机。 (4). 如果定时时间到,则停机并发出音响信号。 (5). 洗涤过程在送入预置时间后即开始运转。 二.方案选择及电路的工作原理 1.方案选择 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没有电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的

分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?方案有两种:一是直接从数值上进行提取信号来控制一个可以实现循环的74LS194 来实现;另一种是制作一个二十进制到十进制的循环转化来把这一分钟走完,但是从电路的复杂程度和经济性来说,显然后者太过于复杂,也不利于接线和排故障,虽然难度会大一些、出成果的时间会比别人晚,但是要设计一个真正可以让用户用放心使用的产品,还得这样做。尤其是最后的循环电路用两个194一定可以很容易实现。 2.工作原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。 三.设计的具体实现 设计思路 (1)本定时器实际上包含两级定时的概念,一是总洗涤过程的定时,二是在总洗涤过程中又包含电机的正转、反转和暂停三种定时,并且这三种定时是反复循

EDA-洗衣机控制器设计

沈阳理工大学 2010年12 月21日

主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20 秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 一、总体设计思想 1、基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。

2、设计框图 二、设计步骤和调试过程 1、总体设计电路 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。 具体电路如下图所示:

数电课程设计——简易洗衣机控制器设计

简易洗衣机控制器设计

摘要 从课程设计要求来看,要求实现电机的正传、反转、暂停,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定发热了,我们可以用555定时器构成的多谐振荡器来产生脉冲。除了这些,还要求在定时结束后有一个指示灯亮来提示定时时间到,还要求电路有清零和置数的功能,这些用逻辑电平开关即可以实现。

目录 第一章设计任务与要求 (4) 第二章基本原理 (5) 第三章设计方案 (6) 第四章单元电路的设计和元件的选择 (8) 4.1秒脉冲发生器 (8) 4.2分秒计数器 (10) 4.3时间译码及控制门 (12) 4.4总电路图 (13) 第五章仿真结果 (14) 5.1秒脉冲发生器 (14) 5.2分秒计数器 (14) 5.2.1正转 (14) 第六章真结果分析 (16) 第七章体会小结 (17) 第八章元器件清单 (18) 第九章参考文献 (19)

简易洗衣机控制器设计 第一章设计任务与要求 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作 图1 洗涤模式 (1)当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 (2)用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。

第二章基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

简易洗衣机控制器的设计与制作教学文案

题目:简易洗衣机控制器的设计与制作 专业班级:班 学生姓名:学号: 指导老师:审批: 任务书下达日期2007年1月8日星期一 设计完成日期2007年1月19日星期五 设计内容与设计要求 一、设计内容 设计并制作一个电子定时器,用以控制洗衣机电机的运转,要求如下:1. 定时到 洗衣机电机的工作顺序:

启动——>正转20s——>暂行10s——>反转20s——>暂行10s——>停止 ^ I______________________________________I 定时未到 2.用4个LED模拟洗衣机的动作状态:LED1~LED4右移循环点亮表示正转,LED1~LED4左移循环点亮表示反转,LED1~LED4同时闪烁点亮表示暂停,全灭为停止。 3.用数码管显示洗涤时间,按倒计时方式对洗涤过程作计时显示,直到时间到停机,并发出音响信号报警。 4.洗涤时间在0-60分钟内可由用户任意设定,并设置启动键,在预置定时时间后,按启动键开始机器运转。 5 .设置停止键,在洗涤过程中随时按该键可终止动作,并使显示器清0。 二.设计要求: 1.设计思路清晰,给出整体设计框图; 2.设计各单元电路,给出具体设计思路、电路器件; 3.总电路设计; 4.安装调试电路; 5.写出设计报告;

主要设计条件 1. 提供直流稳压电源、信号源、示波器等仪器; 2. 提供各类TTL集成电路芯片、电阻、电容及插接件等元器件。 3. 提供电子综合实验装置。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图;

5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。 注意:课程设计报告说明书要求用16开纸打印! 进度安排 第一周: 星期一上午安排任务、讲课。 星期一~星期二:查资料、设计 星期三上午:领元件〈四楼电子综合实验室〉 星期三~星期五单元电路设计和仿真、调试电路; 第二周: 星期一~星期四安装调试电路;〈四楼电子综合实验室〉星期五写总结报告,答辩;

简易洗衣机控制电路完美版

武汉理工大学《数字电子技术基础》课程设计说明书 1 Proteus软件简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。 1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发- 1 - 武汉理工大学《数字电子技术基础》课程设计说明书 生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、

Verilog数字电子技术-洗衣机控制器

2010学年度第一学期 电子技术基础课程设计 ――智能洗衣机控制器 控制科学与工程系 自动化 1006 班 ******* ******** 院 系: 专 业: 班 级: 姓 名: 学 号: 指导教师:

课程设计名称 智能洗衣机控制器的设计 设计内容及要求 ①设计一个智能洗衣机控制器,能够实现洗衣,漂洗和脱水的功能。 ②要求能够使用按键模拟对洗衣机的控制,能够设置工作模式,为了便于观察,将洗衣机设定的工作模式(1~5)和整个过程所剩的工作时间用数码管显示出来(时间分辨率为 1 分钟),能够将洗衣 机当前所处的状态(注水,洗衣,排水,甩干)用发光管或者数码管显示出来。 模式1 】:洗衣模式--强力洗(洗衣30 分钟) 模式 2 】 :洗衣模式--普通洗(洗衣20 分钟) 模式3】:洗衣模式--轻柔洗(洗衣10 分钟) 模式 4 】 :漂洗模式 模式 5 】 :甩干模式 注:在以上 5 个模式中,每次注水 1 分钟,漂洗 5 分钟,排水 1 分钟,甩干1分钟,模式1?3的洗衣时间如上所示,具体的洗衣步骤如下: 【模式 1 ?3】:注水->洗衣->排水->甩干->注水->漂洗->排水->甩干

-> 注水-> 漂洗-> 排水-> 甩干。 【模式4】:注水-> 漂洗-> 排水-> 甩干-> 注水-> 漂洗-> 排水-> 甩 干。 【模式 5 】:甩干。【要求】:实现逻辑控制过程,可以选择性的加入注水口无水报警等人性化的状态提示,操作完毕使用蜂鸣器鸣叫两秒提示。 ③画出洗衣机控制器的状态机,写出状态编码方案。 ④用Verilog 语言对设计进行描述,设计一个测试方案,并能够下载到实验板上调试成功。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议 系统设计 1 系统框图与说明本次设计的重点在于智能控制器状态之间转换的条 件和关系, 并且在此基础上输出相应的显示

基于VHDL语言的简易洗衣机控制器

电子课程设计 ——简易洗衣机控制器设计 学院: 班级: 姓名: 学号: 指导老师: 2013年12月

目录 第一部分:设计任务与要求 (1) 第二部分:总体框图 (1) 第三部分:选择器件 (2) 第四部分:功能模块 (3) 4.1时间预置编码寄存模块(settime) (3) 4.2减法计数器模块(counter) (4) 4.3数码管显示模块(showtime) (7) 4.4时序电路模块(analyse) (9) 4.5译码器模块(move)……………………………………… 1 1 第五部分:总体设计电路图 (13) 5.1总体(顶层)设计电路图 (13) 5.2顶层文件仿真 (13) 5.3管脚分配图 (14) 5.4硬件实验效果图 (14) 第六部分:课程设计心得体会 (15)

简易洗衣机控制器设计 一、设计任务与要求 设计一个洗衣机洗涤程序控制器,控制洗衣机的电动机按下图所示的规律运 转: 时间到 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED 表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、总体框图 RUN REV PAUSE time_over clk K5 start K6 load K1 K2 K3 K4 各个部分的具体功能描述如下: (一)预设时间和编码电路(settime ):接受用户通过按钮预置的时间信息,编码 译码驱动模块(move) clk out_1 out_2 start 时序电路模块(analyse) clk time_over start 十进制减法计数器模块(counter) 洗涤预置时间编码寄存电路模块(settime) 报警信号 时间显示模块(showtime ) 定时启动 停止 正转 暂停 反转 暂停

全自动洗衣机控制系统设计

全自动洗衣机控制系统 设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

东北大学秦皇岛分校自动化工程系自动控制系统课程设计 全自动洗衣机控制系统的设计 专业名称自动化 班级学号 学生姓名 指导教师 设计时间2011.6.27~2011.7.8 东北大学秦皇岛分校自动化工程系 《自动控制系统》课程设计任务书 专业:自动化班级: 姓名: 设计题目:全自动洗衣机控制系统的设计 一、设计实验条件 装有单片机仿真软件的电脑。 二、设计任务 全自动洗衣机控制系统的要求是能实现“正常运行”和“强制停止”两种控制方式。 1.正常运行 “正常运行”方式具体控制要求如下: (1)将水位通过水位选择开关设在合适的位置(高、中、低),按下“启动”按扭,开

始进水,达到设定的水位(高、中、低)后,停止进水; (2)进水停止 2s 后开始洗衣; (3)洗衣时,正转 20s,停 2s,然后反转 20s,停 2s; (4)如此循环共 5 次,总共 220s 后开始排水,排空后脱水 30s;(5)然后再进水,重复(1)~(4)步,如此循环共 3 次; (6)洗衣过程完成,报警 3s 并自动停机。 2.强制停止 “强制停止”方式具体控制要求如下: (1)若按下“停止”按扭,洗衣过程停止,即洗涤电机和脱水桶转、进水电磁阀和排水 电磁阀全部闭合; (2)可用手动排水开关和手动脱水开关进行手动排水和脱水。 三、设计说明书的内容 1、设计题目与设计任务(设计任务书) 2、前言(绪论)(设计的目的、意义等) 3、主体设计部分 4、参考文献 5、结束语 目录

课程设计 简易洗衣机控制器

课程设计简易洗衣机控制器 现代社会人们的生活水平越来越高,洗衣机作为一种方便人们洗涤的设备进入了千家万户。洗衣机控制器的设计也变的越来越重要。随着大规模集成电路技术的发展,人们的日常生活越来越数字化和集成化,洗衣机控制器的发展也在不断的前进。 从课程设计要求来看,本次设计用到了我们熟悉的555定时器构成的多谐振荡器来产生脉冲,74LS192芯片,数码管等电路器件,理所当然的分钟计数器、秒计数器是一定要有的。通过一些基本的电路元件(电阻、电容)和门电路(非门、或门、与门、与非门、异或门)将各个电路器件连接起来,除了这些,还要求在定时结束后有一个指示灯来提示定时时间到,还要求电路有清零和指数的功能,这些用逻辑电平开关即可以实现。 1、设计任务和指标 设计一个电子定时器,控制洗衣机按如图1洗涤模式进行工作,具体指标如下: 图1、洗涤模式 (1)当定时时间达到终点时,一方面使用电机停机,同时发出音响信号(可换成指示灯)提醒用户。 (2)用两位数码管显示洗涤预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 (3)三只LED灯表示“正转”、“反转”和“暂停”3个状态。 2、设计原理和参考电路 (1)设计方案 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作,当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。该方案的原理框图如图2所示。

全自动洗衣机控制系统设计

分数: 华南理工大学广州学院 课程设计任务书 题目:全自动洗衣机的PLC控制系统设计 课程:PLC技能培训课程设计 专业:自动化 班级: 姓名: 学号:

第一部分任务书

《PLC技能培训》课程设计任务书 一、课程设计目的 编程序控制器(PLC)于20世纪60年代在美国诞生,在我国的发展与应用已有30多年的历史,现在它已经广泛应用于国民经济的各个工业生产领域,成为提高工业装备电气自动化水平的重要设备和强大支柱。因此,PLC技术已成为电气自动化专业技能型人才必不可少的重要技能,《PLC技能培训》课程是《电气设备与PLC》课程实践教学环节,通过实践,可以帮助学生加深对理论知识消化吸收,提高PLC编程技能。 该课程设计的主要目的是通过运用三菱FX3U 系列PLC设计一控制系统,掌握PLC控制系统设计原则、方法、过程和具体设计步骤。通过设计还有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备及控制系统的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。 课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 二、PLC应用系统设计的内容和步骤 1.设计原则 (1)系统应最大限度地满足被控设备或生产过程的控制要求。 (2)在满足控制要求的前提下,应力求使系统简单、经济,操作方便。 (3)保证控制系统工作安全可靠。 (4)考虑到生产发展和生产工艺改进,在确定PLC容量时,应适当留有裕量,使系统有扩展余地。 2.设计内容 )拟定控制系统设计的技术条件。1(. (2)确定电气传动控制方案和电动机、电磁阀等执行机构。 (3)选择PLC的型号。 (4)编制PLC输入、输出端子分配表。 (5)绘制输入、输出端子接线图。 (6)根据系统控制要求,用相应的编程语言(常用梯形图)设计程序。 (7)设计操作台、电气柜及非标准电气元件。 (8)编写设计说明书和使用操作说明书。 3.设计主要步骤 (1)分析被控对象的控制要求,确定控制任务. (2)选择和确定用户I/O设备. (3)选择PLC的型号.

简单洗衣机控制基于单片机

1.用单片机控制洗衣机 通信1411 于波 2.项目名称:项目九:洗衣机控制 3.项目内容及要求; 内容: 设自动洗衣机的控制要求是:启动后先开启进水阀进水,水位到达设定值后关闭,然后波轮按正转5秒、反转5秒,正、反转之间停3秒的规律不断循环转动,直至达到设定时间停止,之后打开排水阀放水,水放完后洗衣桶旋转脱水,1分钟后停止并关闭排水阀,洗衣过程结束。要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调,以1分钟为单位,排水时间定为1分钟。运动执行器用指示灯模拟,水位用开关模拟,试设计该洗衣机的控制电路。要求: 1.画出控制系统的框图,说明系统方案设计的思路、理由或依据; 2.选择、确定组成控制系统的各个单元,并阐述选择确定的原则或 依据; 3.画出完整的电气原理图,介绍整体电路的工作原理、性能或特点; 4.如采用单片机控制,给出单片机程序的流程图和清单,说明程序 的工作原理。 5.制作实物电路,验证设计、制作是否正确。 4.题目分析及设计思路;(弄清楚要完成设计的技术要点、关键是什 么,有哪些需要注意的事项,可以采用哪些方法和手段,有哪些可用的方案或电路形式,等等。)

要完成本项目设计的技术要点是对洗衣机的整个运行流程深刻理解之后,再用程序将他表现出来,说以关键就在于程序的编写。需要注意的是运动执行器是用指示灯模拟,水位用开关模拟,还要求水位设置不少于高、中、低三档,洗衣时间在3——12分钟范围内可调所以大概就要用到6个发光二极管和8个复位按键,因此就要对整个流程控制的逻辑顺序有个很好的设计。对于程序的编写可以采用单片机或PLC (就目前所学而言),而对于这样一个简单的小程序就采用单片机即可。 5. 方案设计说明;(在充分调研、思考的基础上确定电路方案并说明 理由。画出电路的系统框图,说明系统框图的原理。) 一开始看到这个题目我就打算使用单片机来完成本设计,因为单片机使用方便,只需一个最小系统即可,在宿舍就可完成对程序的编写和修改,而PLC 价格昂贵且不能随时可用。就本项目采用单片机而言需要一个单片机最小系统,思维数码管及驱动,一组LED 和按键。 系统框图: 通过对八个按键的操作,可对水位设置,洗衣时间设置,开始洗衣,水位确定。四位数码管可显示水位的设置值,洗衣时间设置值,还有洗衣时间计时等。六个LED 有两个表示洗衣机波轮的正反转,两 MCU (AT89C51) 四位数码管 八 个 控 制 按 键 六个LED 指示灯

简易洗衣机控制器

I 简易洗衣机控制器 摘要: 尽管洗衣机有了很大发展,但消费者的需求不断提高。为使洗衣机的操作更方便、更人性化,根据设计的要求主要采用单片机设计简易洗衣机的控制系统,控制电动机正反转的时间,在对洗衣总时间进行设定后,洗衣机能完成洗衣过程。它的CPU 采用51单片机,根据设计需要增加一些按键设定时间和数码管显示时间。在满足输入/输出接口及其他硬件的情况下,再进行软件设计。首先是了解其工作流程,绘制功能流程图。然后,制作硬件编写程序实现。本设计主要实现了对洗衣机的定时设置,及控制洗衣机暂停和开始,能显示计时时间,计时结束能发声报警。 关键词 洗衣机、控制、单片机 Abstract :In spite of the washing machine has made great development, but the demands of consumers. To make washing machine operation more convenient, more human, according to the requirement of the design is mainly design simple washing machine control system by single chip microcomputer, the control motor and reversing time, after set laundry total time for washing machine can finish the laundry process. Its CPU USES 51 single chip microcomputer, according to the design needs to add some buttons to set time and digital tube display time. In meet the under the condition of input/output interface, and other hardware, and software design. First of all is to understand its working process, flow chart drawing function. Then, make the hardware programming implementation. This design mainly realized the timing set of washing machine, washing machine and control pause and start, can show the timing time, end time can voice alarm. Key words :Washing machines, control, simple and easy

课程设计--简易洗衣机控制器设计

简易洗衣机控制器设计 一.设计任务: 设计一个简易洗衣机控制器,,有以下的要求: 1.洗衣机能正转洗衣20秒,停10秒,在反转20秒,在停10秒,反复洗衣; 2.可以任意设置洗衣时间1~99分钟,并用数字显示。 3.当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意。 4.用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 5..三只LED灯表示“正转”、“反转”和“暂停”3个状态。 二.设计条件 利用multisim11.0软件进行仿真 三.设计分析与准备 对设计任务进行分析主要可以分成以下两个模块: 1.洗衣机能正转洗衣20秒,停10秒,在反转20秒,在停10秒,反复洗衣,可以任意设置洗衣时间1~99分钟,并用数字显示。用两位数码管显示洗涤的预置时间(以分钟为单位),按倒计时方式对洗涤过程作计时显示,直到时间到而停机。 分析:仔细分析可以得到洗衣机的正转,暂停,反转,暂停一个周期所用的时间刚好是60秒的时间,所以考虑分秒计数器,考虑到74LS192具有减计数,置数,清零的功能, 所以选用这个芯片工作.并用四个数码管来显示其输出. 洗衣机的工作的流程可以用以下框图表示: 定时已到 定时启动正转暂停反转暂停停机 定时未到

74LS192的引脚及其功能表 : 三只LED 灯表示“正转”、“反转”和“暂停”3个状态。当定时时间达到终点时,一方面使电机停机,同时发出音响信号(可换成指示灯)提醒用户注意 分析:在不同的时刻选择不同的灯亮,类似于根据输入选择不同的输出,所以想到可以用 74LS138这个芯片来完成这个工作,考虑到定时结束时其它三个灯也就不亮了,可以选择用一些逻辑门来对输入信号的与或非并把这个信号作为74LS138的使能端. 74LS138引脚及其功能表 : G1 G2A+G2B C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X X X X 1 1 1 1 1 1 1 1 X 1 X X X 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 输入 输出 CLR LOAD UP DOWN D C B A Qd Qc Qb Qa 1 x x x x x x x 0 0 0 0 0 0 x x D C B A D C B A 0 1 1 x x x x 加计数 0 1 1 x x x x 减计数

简易洗衣机控制电路(完美版)..

1 Proteus 软件简介 1.1概述 Proteus软件是英国Labcenter electronics公司出版的EDA 工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA 工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus 是世界上著名的EDA 工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB 设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、 PIC10/12/16/18/24/30/DsPIC33、AVR、ARM 、8086 和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR 、Keil 和MPLAB 等多种编译器。 1.2具有四大功能模块: 1.2.1智能原理图设计( ISIS)丰富的器件库:超过27000 种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP 图纸,可以方便地供WORD、POWERPOINT 等多种文档使用。 1.2.2完善的电路仿真功能( Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000 个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件;多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、

简易洗衣机控制器

1设计思路 1.1设计总体思路 从课程设计要求来看,要求实现电机的正传、反转、暂停,实际上没又电机给我们接上,这回要用四个LED灯的状态来表示,当显示时间前20秒正传、暂停10秒、反转20秒、再暂停10秒,如此一来,周期恰好是60秒,理所当然的分钟计数器、秒计数器是一定要有的。接下来脉冲是一定的了,但是有分钟计数器和秒钟计数器还要考虑是不是要60分频器,就我们所学过的来说实现循环有移位寄存器;还有个问题,当洗涤时间到了,报警还要一个报警电路,根据人性化、自动化、低成本的设计原则,报警的蜂鸣器不可以长时间的叫,要有个合理的时间,我们可以用一个单稳态电路来实现。看起来还不错啊,如果这样想那就嫌早了点,还有一个问题要解决:如何提取时间并使循环电路工作的信号?直接从数值上进行提取信号来控制一个可以实现循环的74LS192来实现。现在大体 上就这样计划,下面说说基本原理。 1.2基本原理 首先,从秒脉冲出来的信号,经过一个控制电路后进入秒计数器进行秒计数,进行清零,这时用户置入洗涤时间,并按开始按钮,洗衣机开始工作。当秒计数器变为零的时候,去分钟计数器上面借数;与此同时,从十秒位转化出来的信号进入移位寄存器后,LED灯表示出电机运转状态;当用户设定的洗涤时间结束后,电路报警并清零;同时电机指示灯熄灭。

1.3系统设计框图如下图所示

2电路模块设计 2.1芯片74IS192的逻辑功能

2.2 1Hz矩形脉冲产生电路 需要的秒脉冲发生器可以由一个集成的555定时器构成,当电源接通后,VCC 通过对 R1、R2向电容充电。电容上得到电压按指数规律上升,当电容上的电压上身到2/3VCC 时,输电压V0为零,电容放电。当电压下降到1/3VCC时,输出电平为高电平,电容放电结束。这样周而复始便形成了振荡。如下图1Hz矩形脉冲波产生电路。 555定时器构成的多谐振荡器所输出的矩形脉冲信号的频率计算式为 1.44 (R i 2R2)C i 故选定元件参数,R仁63K,R2=40K, C1=0.1uF, C2=10uF。其中电容C2 的作用是抗干扰用的,以提高电路的稳定性。

EDA课程设计洗衣机控制器要点

东北石油大学课程设计 2014年 3 月7日

东北石油大学课程设计任务书 课程EDA技术课程设计 题目洗衣机控制器 专业电子信息工程姓名学号 主要内容、基本要求、主要参考资料等 主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止,同时发出提示音。 基本要求: 1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转20秒→暂停10秒→反转20秒→暂停10秒→定时未到回到“正转20秒→暂停10秒→……”,定时到则停止; 2、若定时到,则停机发出音响信号; 3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始; 4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 主要参考资料: [1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005. [2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006. [3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003. 完成期限2014.3.7 指导教师 专业负责人 2014年3月3日

一、设计思想 1.基本原理 洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。 2.设计框图 图1 设计框图 用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。 二、设计步骤和调试过程 1、模块设计和相应模块代码 洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电

简单全自动洗衣机控制系统分解

单片机系统 课程设计课程设计名称:基于89C51的全自动洗衣机控制系统

单片机系统课程设计任务书

目录 1 引言 (4) 2 总体方案设计 (5) 2.1硬件组成 (5) 2.2 方案论证 (6) 2.3 总体方案 (7) 3 硬件电路设计 (8) 3.1 单片机及其外围电路 (8) 3.2 显示电路 (9) 3.3 执行电路 (10) 4 系统软件设计 (12) 4.1 主程序设计 (12) 4.2 中断服务程序设计 (13) 4.3 部分主要子程序的设计 (14) 5 系统调试与总结 (17) 5.1 系统功能测试 (17) 5.2 技术指标测试 (18) 5.3总结 (18) 参考文献 (19) 附录A 系统原理图 (20) 附录B 源程序 (21)

1 引言 洗衣机是人们日常生活中常见的一种家电,已经成为人们生活中不可缺少的家用电器。随着社会的发展,洗衣机正朝着智能化、水流方式多样化、洗衣方式创新化、设计更趋人性化四大特征方向发展。传统的电气控制已经不能满足现状的要求了。智能化的控制取代了传统的工业控制已是大势所趋了。 随着先进科学技术发展,应用于洗衣机上的技术越来越成熟,洗衣机的发展也越来越快,将来的洗衣机主要主要朝以下几个方面发展: (1)高度智能化; (2)人性化; (3)节水节能; (4)大容量和微型化; 本次设计主要采用单片机原理及其接口技术来设计全自动洗衣机控制系统,跟传统的洗衣机相比更具有智能,实时监控,人性化的功能。本系统最大的优点集中体现在:实现功能齐全、外围电路简单、时间计算精确以及可维护方便等。具有可靠性高、安全性好、开发价值高等一系列优点。 如图1.1所示,全自动洗衣机包括启动按钮、进水口、控制器、洗涤桶、波盘、排水口、洗涤电机等组成。当洗涤衣服时,按下启动按钮,由单片机控制进水泵从进水口进水,进水五分钟后,由单片机控制进水泵停止工作;然后由单片机控制洗涤电机转动进行洗涤,洗涤二十分钟后,单片机控制洗涤电机停止工作;接着,单片机控制排水泵开始工作,使洗涤衣物后的污水从排水口排出,排水五分钟后关闭排水泵,洗涤结束。

最新全自动洗衣机控制系统设计

全自动洗衣机控制系统设计2008年7月

本文介绍了采用可编程控制器(PLC)作为核心控制部件,并利用计算机进行组态监控的全自动洗衣机控制系统。文章介绍了洗衣机的结构,对全自动洗衣机的控制系统进行了分析,在此基础上提出了基于PLC的全自动洗衣机控制方案,并对方案进行了论证,根据洗衣机的工作原理,设计了流程及程序,对按钮,继电器,开关,变频器等其它一些输入/输出点进行控制,实现了洗衣机洗衣过程的自动化。由于洗涤,排水,脱水的时间均由PLC内计数器控制,所以只要改变计数器参数就可以改变时间。具有智能化程度高、安全可靠、方便、灵活等特点。 关键词:PLC;全自动洗衣机;变频器;继电器

This article used programmable controller PLC to realize the washer completely automatic control, explained the PLC control principle method, the characteristic and controlled the washer the characteristic. The article during introduction washer structure, carries on the analysis to the completely automatic washer control system, proposed in this foundation based on the PLC completely automatic washer control plan, and has carried on the proof to the plan, has carried on the design according to the washer principle of work to the procedure and the flow, has the intellectualized degree high, safe reliable and so on the characteristics. To the button, the relay, the switch, the frequency changer and so on other some I/O spot carries on the control, realized the washer to wash clothes the process automation. Because spreads every time lavation, draining water, dehydrated time by PLC in counter control, so long as therefore the change counter parameter may change the time. Keywords: Programmable Logic Controller; The automatic process; converter; control relay

相关主题
文本预览
相关文档 最新文档