当前位置:文档之家› 实验七 硬件电子琴电路设计

实验七 硬件电子琴电路设计

实验七 硬件电子琴电路设计
实验七 硬件电子琴电路设计

电子设计自动化实验报告

实验七硬件电子琴电路设计

一、实验目的

1.熟悉QuartusⅡ软件应用环境,了解实验流程。

2.编写简单的Verilog代码,并在QuartusⅡ中进行调试和验证,并在EDA6000中

下载代码和验证。

3.掌握硬件电子琴电路的设计方法,根据键入发出不同的音阶。

二、实验原理

一个音的音阶是由频率决定,基本音阶的频率如下表:

如果要发出“1”的音,只需产生440Hz(周期为1136.4us*2)的频率即可。

模块speaker实现此功能,speaker是一个带预置的减法计数器,用于产生制定周期的脉冲,speaker的基准时钟是10MHz(100ns),当tone为11364时,每1136.4us*2,产生一个脉冲。

模块tone根据用户的键入,输出相应的周期数供speaker产生脉冲。当用户按下K0时,输出tone11364,按下K1时输出tone 10124..

三、实验内容

1、用Verilog HDL语言实现编写实现硬件电子琴电路的程序。

2、编程下载并在实验箱上进行验证

四、实验步骤与结果

1、新建Verilog工程项目,编写代码并保存至与模块名对应的项目文件夹。

2、编译程序,编译无误后,在【tools】里面选择RTL视图,观察寄存器传输级结构。

3、将实验箱和PC合理连接起来。打开EDA6000软件,设置好芯片类型为ACEX1K (EP1K30TC144-3),载入模式16。

4、根据EDA6000界面内管脚对应芯片的实际管脚在QUARTUSⅡ里面设定管脚号

并检查无误。

5、将程序下载至FPGA试验箱内,并在EDA6000软件界面内进行验证测试。

程序源代码:

module dzq(pcode,phigh,pspeaker,clk,key_in);

input clk;

input [7:0]key_in;

output phigh,pspeaker;

output [2:0]pcode;

reg phigh,pspeaker,lock;

integer q1,Q;

reg [2:0]pcode;

always @ (posedge clk )

begin

if(Q==0)

begin Q<=q1; lock<=1;end

else begin Q<=Q-1; lock<=0;end

end

always @(clk or key_in)

begin

case (key_in)

8'b00000001: begin phigh=0;pcode=3'b001;q1<=11364; end

8'b00000010: begin phigh=0;pcode=3'b010;q1<=10124;end

8'b00000100: begin phigh=0;pcode=3'b011;q1<=9019; end

8'b00001000: begin phigh=0;pcode=3'b100;q1<=8513; end

8'b00010000: begin phigh=0;pcode=3'b101;q1<=7584; end

8'b00100000: begin phigh=0;pcode=3'b110;q1<=6757; end

8'b01000000: begin phigh=0;pcode=3'b111;q1<=6020; end

8'b10000000: begin phigh=1;pcode=3'b001;q1<=5682; end

default : begin phigh=0;pcode=3'b000;q1<=0; end

endcase

end

always @(posedge lock)

pspeaker<=~pspeaker;

endmodule

编译:选择processing---start compilation命令,开始编译。也可直接点击面板上编译按钮。结果如图:

结构图,结果如图:

时序仿真

功能仿真

设置引脚:启动EDA6000,连接试验箱。装入模式16。根据右侧管脚提示进行管脚锁定。在QuartusⅡ界面中选择assignment—pins命令。可以用拖放的方式指定管脚,也可以在location中输入管脚号。最终结果如图所示:

试验箱测试:在QuartusⅡ界面中选择tools--- programmer命令。在弹出的界面中Mode选为passive serial。点击add hardware,选择byteblasterMV,添加驱动成功后,勾选program/configure,点击start,下载文件到试验箱。点击EDA6000start,开始测试。

五、实验总结

通过本次实验掌握了如何用Verilog HDL语言实现硬件电子琴电路的原理,进一步掌握了课堂上所学到的知识,但同时充分的感觉到了自己的不足之处,今后一定要加强自己弱势方面的学习,用心学好EDA教科书上的知识,并抽时间在课外

进行深入地学习。

简易电子琴电路的制作 正文: 一、课程设计的目的 1.了解UA741芯片和DG4102芯片的逻辑功能。 2.学会使用示波器。 3.能够组装复杂的线路并调试。 4.能够熟练地焊接各个元器件到焊接实验板上。 5.了解音调的初步知识。 二、课程设计所用仪器 1.图1运算放大器UA741。 图1 DG4102型单片式集成功放电路结构外形图和管脚1和5为偏置(调零端),2为反向输入端,3为正向输入端,4接负电(-Vcc),6为输出,7接正电源(+Vcc), 8空脚 2.集成功放DG4102。 本实验采用DG4102型单片式集成功率放大电路,此集成电路是带散热片的14脚双列直插式塑料封装结构,其结构外形图和管脚如图2所示: 图2 DG4102型单片式集成功放电路结构外形图和管脚1——输出端, 6——反相输入端, 9——输入端,4、5——补偿电容, 10、

12——旁路电容, 13——自举电容,2、7、8、11——空脚, 3——接地, 14——电源电压(+VCC )。 3. 示波器、数字万用表、扬声器一只、焊接实验板、函数信号发生器、晶体三 极管(9013)、电阻器若干、电容器若干、按键式开关8只、电烙铁、焊锡丝、若干导线 三、课程设计的原理 (一)、简易电子琴电路设计原理 1、简易电子琴电路是将振荡电路与功率放大电路结合的产物。RC 振荡电路(如图3所示)是由RC 选频网络和同相比例运算电路组成,对不同频率的输入信号产生不同的响应。当RC f f π210==时 O U 和i U 同相,并且31==o i U U F 。而同相比例运算电路的电压放大倍数为11R R U U A F i O U +== , 可见,12R R F =时3=U A , 1=F A U 。O U 和i U 同相,也就是电路具有正反馈。起振时F A U >1, U A >3.随着振荡幅度的增大, U A 能自动减小,直到满足3=U A 或1=F A U 时,振幅达到稳定,以后可以自动稳幅。 R R F 图3 RC 振荡电路 2、功率放大电路的任务是将输入的电压信号进行功率放大,保证输出尽可能大的不失真功率,从而控制某种执行机构,如使扬声器发出声音、电机转动或仪表指示等等。

西南科技大学 电子专业综合设计报告 设计名称:基于FPGA的多功能电子琴的设计与实现 姓名: 学号: 班级: 指导教师: 起止日期: 2013年11月22日-2013年12月10 日 西南科技大学信息工程学院制

综合设计任务书 学生班级:电子1001 学生姓名:学号: 设计名称:基于FPGA的多功能电子琴的设计与实现 起止日期:2013.11.22-2013.12.10 指导教师:

综合设计学生日志

基于FPGA的多功能电子琴的设计与实现 摘要:随着科学技术的日新月异,人们的生活也在发生在变化,电子产品也随之增多,比如 现在流行的电子琴,已经逐渐代替了曾经的手动风琴了。文章中所介绍的多功能电子琴的设计在Quartus II平台上,采用Verilog HDL 语言和模块化的设计方法,设计出一个能够通过按键控制不同的音符,同时也可以通过按键进行演奏已经存储的曲子的多功能电子琴。本系统主要由五个个模块组成:顶层模块,曲目1模块,曲目2模块,按键模块,曲目循环播放模块。 关键词: FPGA;电子琴; Verilog HDL;音符

FPGA-based design and implementation of multi-organ Abstract:With the development of science and technology, also occurs in people's lives change, electronic products also increase, such as the now popular organ, has replaced the former manual organ. Multifunction keyboard design as described in the article on the Quartus II platform, using Verilog HDL language and modular design method, design a button control through different note, you can also play music already stored by keys multifunction keyboard. The system consists of five modules: the top-level module, a module tracks, track 2 modules, key module, track loop module. Key words: FPGA, Keyboard, Verilog HDL, Note

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

设计简易电子琴 学号:031041108 学生姓名:冯桥专业(班级):电子(11) 摘要:简易电子琴电路是以 NE555 时基电路为核心组成的多谐振荡器电路,由振荡器电路产生频率信号,再通过由 LM386 小功率集成功放为核心组成的功放电路,最后由扬声器输出信号,发出 8个不同频率的音符。 通过改变一组开关的通断可以发出不同的音符和音调,分别按下音符按键能发出 8 个不同频率的音符。 关键词:NE555 LM386 音调集成功放驱动 1 任务提出与方案论证 1.1 设计要求 1、要求有7个音阶,可以用数字芯片构成,也可由单片机构成。 2、用Multisim仿真。 3、搭建实体电路 要求掌握:数字电路的设计方法 1.2 方案论证 方案一: 基于RC振荡电路构成文氏电桥振荡电路,通过改变电阻或电容的值,可以得到不通的振荡频率,从而可以构建八音阶的电子琴系统。(注:通过此方法完成后只能发出一种声响,而且不能停止,是电路设计与链接问题。) 方案二: 555定时器可以构成单稳态触发器,而单稳态触发器仅有一个稳态,故可以通过改变其暂态在一个周期内的时间长度以得到不同的频率,来构建电子琴系统。 本设计选用第二种方法实现。

2 总体设计 2.1 系统总体组成··

·· 本系统主要由多谐振荡发生电路,扬声器及外部电路组成。通过按键开关接通电路产生振荡方波信号,通过改变电位器电阻的大小来调节振荡频率的大小;接着驱动扬声器发出声音。多谐振荡发生电路按住一个开关电路接通电路外部电容、电阻与555芯片构成多谐振荡电路进行循环的充放电,则输出脉冲矩形波信号。 2.2 总电路图

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

1. 技术指标 (1) 2. 设计方案及其比较 (1) 2.1 方案一 (1) 2.2 方案二 (3) 2.3 方案比较 (6) 3. 实现方案 (6) 4. 调试过程及结论 (10) 5. 心得体会 (16) 6. 参考文献 (16)

简易电子琴电路的设计 1.技术指标 设计一个玩具电子琴,设8个琴键,分别代表1、2、3、4、5、6、7、?八个不同音符,每按下一个琴键,扬声器发出一个音符的声音。演奏时的音量和节拍可以调节。 2.设计方案及其比较 2.1 方案一 选用RC振荡电路和运算放大器构成简易电子琴电路。RC振荡电路的具体电路为文氏桥正弦振荡电路。电路原理图如下图1。 图1 简易电子琴电路原理图

R串联,两者共同构成RC串并联选频网络。由于选其中1C和按键电阻并联,2C和12 频网络的相移为零,这样RC串并联选频网络送到运算放大器同相输入端的信号电压与输 出电压同相,所以RC反馈网络形成正反馈,满足相位平衡条件,因而可以形成振荡。 由于振荡的能量是电源,激励信号源是电路中的噪声,它的频谱丰富,包含频率成分 f;但由于噪声信号极其微弱,在振荡期间应使信号做增幅振荡,为此合理选择电阻使0 ω信号就会通过正反馈而使得输出信号不断增大,使输出幅环路增益大于1,这样频率为0 度越来越大,最后受电路中非线性元件的限制,使振荡幅度自动稳定下来,电路进入等幅振荡。频率0f之外的信号由于不满足振荡平衡条件,将不会在输出信号中出现,RC选频网络实现了信号频率的选择功能。 按键电阻的选择:查阅资料得知八个音阶的频率如下表1: 表1 八个音阶的频率 音符 1 2 3 4 5 6 7 8 f264 297 330 352 396 440 495 528 由于1C的值确定为0.1uF,由公式: fπ2/1 RC =(1) f 0= 并结合表一计算可得电阻阻值分别为(单位:欧姆): 36 R3. k 1=(2) 28 k R7. 2=(3) 23 R3. k 3=(4) 20 k R4. 4=(5) 16 k R2. 5=(6) k 13 R1. 6=(7) R3. 10 k 7=(8) R1.9 k 8=(9)通过阻值选择电阻器件。 电路要求不仅能够振荡,而且能够稳幅。当振荡输出信号小于放大器的最大输出电压时,输出为正弦波。如前所述,环路增益大于1,这样信号幅度在正反馈的作用下不断增

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

学习电子琴的好处 众所周知,电子琴,独具特有的魅力:简单易奏,音色丰富,节奏变幻,电声效果,既可模仿大提琴、小提琴、电吉它、小号、长笛、单簧管等管弦乐器,又可模仿大自然中、人类生活中的音色变化、动物声响、宇宙音响、幻想的、电声的各种特殊的音响效果等等,是其他任何乐器无法比拟的!它可以对孩子们产生强烈的吸引力,激发他们探索的好奇心,引起他们的兴趣和爱好。让身、手、脑和口并用,能够陶冶情操、美化心灵、促进记忆、开发智力、增进身心健康,致使"电子琴热"长久不衰。 一、利用电子琴进行乐理教育 利用电子琴键盘功能,可对学生乐理教学方面进行形象的、直观的教学,让学生达到形象生动而又牢固的掌握所学知识的目的。 二、利用电子琴进行节奏训练 节奏是音乐的生命。对学生进行音乐教育,十分强调从节奏入手。在以往的节奏训练中,只能依赖在嘴里念"哒哒"声,或手打"啪啪"声来练习,这种枯燥、机械的节奏拍打训练,往往脱离音乐,忽略了对音乐节奏的整体感受。 然而,电子琴是多功能的乐器,它储备了几十种甚至上百种节奏音型,通过调节速度的快、慢,运用高、中、低音区的变化和丰富的音色变化等,这种融概念、视觉、触觉与听觉为一体的方式,可使同学们的训练兴致提高,成为培养学生节奏感的最好的辅助工具,让学生所记住的不仅是语言,更有声音的形象。这种节奏训练是扎根在听、说、唱、动的音乐实践中,使学生获得整体的、清晰的、敏锐的节奏感。 三、利用电子琴进行音准训练 音准是音乐的灵魂,"五音不全"就失去了音乐的表现力。此种人可以称为"音盲"。在以往的音准训练中,总是听琴声跟老师学唱,形式较为单一、老套、缺乏趣味性,效果也甚微。尤其是音准差的同学,难免受到别的同学们的嘲笑。 通过电子琴的教学,他们便可利用固定的音高,优美的音色,来进行模唱和弹奏,校正音准,使老走调的同学树立起自信心。同时,通过多种唱、弹形式,如:男生弹、女生唱;第一二组唱、三四组弹;一人弹、其他同学唱等等方式,让学生处于主导的地位,而老师有时候也可当听众、观众,提出听后的评估。这时候,每个学生都愿意来当"小先生"、"小明星"。 四、利用电子琴的音色、音区、节奏和速度的变化,感受音乐 电子琴有上百种可变化的独奏、合奏音色,上百种自动伴奏音型,多种模拟大自然的音响和打击乐,可以利用这些特殊的模仿大自然中、人类生活中的音色变化,来设计一些特定的场景或小故事等。也可以配合欣赏一些音乐作品。在学生对这些作品有所了解之后,让他们自行设计,运用所理解的音色、音区、节奏和速度等的变化来表达他们想要表达的某个事件或情感内容,增强学生丰富的想象力和创造能力。 五、利用电子琴培养学生的集体主义精神和礼貌待人的良好风尚学生们在电子琴集体课中,要求做到整齐、协条、一致;在上台表演时又学会了仪容整洁与尊重听众等文明习惯;并在与大家一起学习的交往过程中,获得了欢乐的情绪,从而摒弃某些独生子女孤僻的性格,树立集体主义精神和团结协作的观念。一、学习电子琴的好处

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

基于51单片机的多功能电子琴的设计 摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89C51单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键、一排LED灯和扬声器。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 一、总体方案设计 1、系统设计要求 本系统分为三个部分,一个是音乐播放,一个是电子琴弹奏,和一个流水灯演示。具体指标如下: 1)要求达到电子琴的基本功能,可以用弹奏出简单的乐曲。 2.)用键盘作出电子琴的按键,每键代表一个音符。 3)各音符按一定的顺序排列,必须符合电子琴的按键排列顺序。 //4)固定音乐播放有按键控制:“播放”、“弹奏/停止”。 5)弹奏电子琴时能播放出准确的声音,不弹奏时可以播放内置音乐。 6)弹奏电子琴时,流水灯会不停的亮灭。 2、系统设计组成 本系统分为两个部分,一个是音乐另一个就是电子琴。音乐播放部分:乐音实际上是有固定周期的信号。本文介绍用AT89C51的两个定时器(如T0,T1)控制,在P3.7脚上输出方波周期信号,产生乐音,通过矩阵键盘按键产生不同的音符,由此操作人员可以随心所欲的弹奏自己所喜爱的乐曲,同时,那排流水灯会不停的闪烁,当不想弹奏时通过按放歌键可以演奏事先存放在单片机中的几首动听的曲子供消遣。当歌曲演奏完时,通过按复位键便可回到初始状态,这样就做出了一台微型电子琴。由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可。乐曲中,每一音符对应着确定的频率,我们将每一音符的时间常数和其相应的节拍常数作为一组,按顺序将乐曲中的所有常数排列成一个表,然后由查表程序依次取出,产生音符并控制节奏,就可以实现演奏效果。电子琴弹奏部分:实际上就是把每个按键所对应的值经过处理后发给单片机,再在单片机内把数字当作指针指向所对应的音符。 3、系统框图 该系统通过电子琴按键随意键入所要表达的音符,作为电平送给主体电路,中央处理器通过识别,解码输出音符,在扬声器中发出有效的声音。通过这样可以不断的弹奏音乐。嵌入式电路,按键电路,LED显示电路和两个功能键组成,通过功能键可以选择播放音乐。其主要模块由五个部分组成,具体关系如图

基于FPGA的简易电子琴实现 李全 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴音调发生器模块、数控分频模块和自动演奏模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。能够实现弹琴和自动演奏的功能。系统实现是用硬件描述语言VHDL按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;音调发生;数控分频; 1引言

我们生活在一个信息高速发达的时代,各种各样电子产品层出不穷。对于广大老百姓来说,电子琴可以说已经不再是什么“新鲜玩意”了,它现在作为一种休闲和娱乐的产品早就推出市面,面向百姓,进入了我们的生活。作为一个电子信息科学与技术专业的学生,了解这些电子产品的基本的组成和设计原理是十分必要的,我们学习过了计算机组成的理论知识,而我所做的课程设计正是对我学习的理论进行实践和巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴;集科学性,先进性,创新性,实用性于一体,其理论基础源自于计算机组成原理的时钟分频器。 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论相关的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL 语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机相关的实际问题的能力。 1.2设计的基本内容

555简易电子琴电路制作 一设计要求与任务 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二总体框图 、【模块功能】 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成, 1输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端2频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率 3扬声器端口: 接受信号频率发出特定的频率

【设计方案】 555定时器 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10 等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 三选择器件 【实验器材】 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。

课程设计报告 课程名称:微机原理课程设计 题目:简易电子琴设计 学院:系: 专业: 班级: 学号: 学生姓名: 起讫日期: 指导教师:

摘要 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本系统是以51系列单片机AT89C51为主控制器,附有独立键盘、点阵、扬声器组成。系统完成显示输入信息、播放相应音符等基本功能。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 关键词:AT89C51,点阵,独立键盘,蜂鸣器。

目录 第1章概述----------------------------------------------------------------------------------3 第2章课程设计任务及要求-----------------------------------------------------------3 2.1 设计任务-------------------------------------------------------------------------------3 2.2 设计要求-------------------------------------------------------------------------------3 第3章硬件设计-----------------------------------------------------------------------------3 3.1设计方案-------------------------------------------------------------------------------4 3.2 硬件电路设计及描述-----------------------------------------------------------------4 3.2.1 电路连线及概述---------------------------------------------------------------------5 3.2.2 系统设计电路图--------- --------------------------------------------------------8 第4章原件清单-----------------------------------------------------------------------------9 第5章心得体会-----------------------------------------------------------------------------10第6章参考文献-----------------------------------------------------------------------------11

相关主题
文本预览
相关文档 最新文档