当前位置:文档之家› 推荐-FPGA技术的数字存储示波器设计 精品

推荐-FPGA技术的数字存储示波器设计 精品

推荐-FPGA技术的数字存储示波器设计  精品
推荐-FPGA技术的数字存储示波器设计  精品

(毕业)

系别:电子与电气工程学院

专业:电子信息工程技术

班级:

学生姓名:

学生学号:

设计()题目:基于FPGA技术的数字存储示波器设计指导教师:

设计地点:

起迄日期:

()任务书

专业电子信息班级姓名

一、课题名称:基于FPGA技术的数字存储示波器设计

二、主要技术指标:

(1)带宽:100MHz (2)垂直灵敏度:10mv—5v/div (3)水平灵敏度:2.5ns—5s/div (4)输入阻抗:1MΩ

(5)存储深度:4KB (6)显示:LED

(7)通道:单通道等

三、工作内容和要求:本设计的数据采集采用高速模/数转换器ADl674(A/D),直接用FPGA准确定时

控制ADC的采样速率,实现整个频段的全速采样。数据的存储采用双口RAM(UT62-256)存储采样量化后

的波形数据,同样用FPGA控制RAM的地址线。整个系统采用单通道的方式,信号进来首先经过前端的调

理电路把信号电压调整到AD的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电

路所组成。调节后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA

中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电

路等。

四、主要参考文献:

[1]杨刚、龙海燕.现代电子技术一VHDL与数字系统设计[M].北京:电子工业出版社.20XX.

[2]侯伯亨、顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:两安电子科技人学.1999.

[3]潘松下、国栋帅.L实用教程[M].成都:成都电子科技大学出版社.2000.

[4]潘松下、黄继业.EDA技术实用教程[M]北京:科学出版社.20XX.

[5]王振红.VHDL数字电路设计与应用实践教程[M].北京机械工业出版社.20XX.

学生(签名)20XX年5月7日

指导教师(签名)20XX年5月10日

教研室主任(签名)20XX年5月10日

系主任(签名)20XX年5月12日

()开题报告

目录

摘要

Abstract

第1章前言 (1)

1.1 数字存储示波器的发展概况 (1)

1.2 本文所做的研究工作 (1)

第2章示波器的工作原理 (3)

2.1 模拟示波器的基本工作原理 (3)

2.2 数字存储示波器的工作原理 (4)

第3章 D S P处理器和F P G A的开发过程简介 (5)

3.1 DSP处理器的开发过程和应用 (5)

3.2 FPGA的开发过程与应用 (6)

第4章整体设计方案 (8)

4.1 系统整体设计流程图 (8)

4.2 整个系统的性能指标 (9)

4.3 系统的实现方案 (9)

4.4 元器件的选择 (11)

第5章整个系统硬件设计 (12)

5.1 前端数据采集部分硬件电路设计 (12)

5.2 FPGA外围电路的设计和内部逻辑电路设计 (17)

5.3 DSP部分的硬件设计 (24)

第6章系统软件设计 (29)

6.1系统初始化 (29)

6.2数据处理的相关算法 (33)

6.3波形显示程序 (35)

第7章结束语 (37)

答谢辞

参考文献

摘要

数字存储示波器在仪器仪表领域中占有重要的地位,应用范围相当广泛,所以对示波器的研制有重要的理论和实际意义。本文针对数字存储示波器的设计进行了深入的研究,旨在研制出100MHz带宽的数字存储示波器。

从各个方面考虑,选用了DSP、FPGA和单片机的方案来设计整个系统。整个系统采用单通道的方式。信号进来首先经过前端的调节电路把信号电压调整到AD 的输入电压范围之内,这里调节电路主要是由信号衰减电路和信号放大电路所组成。调节后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。

由于本文采用FPGA,使得数字存储示波器的设计比较灵活,容易升级。可以根据自己的需要进行相关的改进,例如对外围电路做进一步地扩展。

关键词:DSP;FPGA;LCD;单片机;数字存储示波器

ABSTRACT

Digital storage oscilloscopes play an important role in the field of instrumentation,it has a wide range of applications,the development of the oscilloscope has a very important theoretical and practical significance.In this paper, we have do a lot of work to the design of digltal storage oscilloscope.The goal is aimed at the development of the repeat 100MHz bandwidth digital storage oscilloscope.

Considereing from various aspects,we select DSP,FPGA and microcontroller to design the whole system.The whole system is single channel.The signa that e in from the first front-end have been changed a fit voltage which put into a voltage signal AD.Front-end circmts here mainly are posed of by signal attenuation and signal amplifier circuit.After the front-end,the signals have changes the digital signal the by AD circuit.This data has been sent to FPGA,the data is saved to the FIFO in the FPGA.The main circuit in the FPGA,including FIFO,the trigger system,the peak detection circuit,time-ased circuit,and so on.

At the same time,the use of FPGA makes the design more flexible,and easier to upgrade,for example,it is possible to expand extemal circuit of oscilloscopes.KEY WORDS:DSP,FPGA,LCD ,microcontroller,digital storage oscilloscope

第1章前言

示波器应用非常广泛,包括工业、军事、科研、教育领域都有很大的应用。本章主要对示波器的国内外发展现状和本文所做的研究工作做简要的介绍。

1.1数字存储示波器的发展概况

以前的数字示波器的屏幕更新速率慢,无实时采集能力。九十年代之后,示波器技术得到了飞速发展。比如HP公司推出的54600B系列数字示波器克服这个更新速率慢的问题。这样,输入信号变化,立即就可以看到显示的变化。例如Tektronix公司的TDS684A型4通道1GHz的数字示波器采用了获专利的数字实时取样技术,并增加了转换率触发和建立与保持触发功能。更新速度也更快。同时泰克公司宣布的DP04000数字荧光示波器,该系列示波器系列拥有350MHz--1GHz的带宽,率先提供了突破性的Wave Inspector技术。在20XX年下半年推出几种新型数字存储示波器,其中600MHz和1GHz两种带宽的示波器采用了安捷伦最新一代MegaZoom专利技术,具有最深的存储器和最多的集成通道数以及业内领先的波形观察能力。目前一些国内厂商开始进军手持数字示波器这一高端领域。虽然,从市场需要来看,20MHz带宽的数字存储示波器产品在市场中占有很大的比例。一般20MHz的带宽可以满足很多人的需求。面对这样的行业需求,所以国内示波器生产企业把产品性能设定在20MHz带宽、100MSa/s采样率。采用双通道数据采集,一般是单色LCD显示。尽管我国国产示波器处于起步阶段。但是我国手持数字存储示波器的生产企业在其产品的研发过程中,除了有自己的独立研发中心外,同时也与国内高校进行资源整合,例如电子科技大学就通过与企业合作进行示波器的研发。通过与高校实验室的技术与科研的交流与合作,加强研发团队的科研水平,进一步提高产品的竞争力。

1.2本文所做的研究工作

DSP是16位的RISC处理器,高性能、低功耗是其显著特点。并被广泛应用于各种嵌入式领域。比如在雷达信号处理,数字图像处理方面等等。FPGA是复杂可编程逻辑器件,它具有速度快、稳定性高、设计灵活和价格低廉等许多优点。DSP和FPGA都是现在非常流行的,其性价比也是非常的高。也是两款技术非常成熟的芯片。本文所做的研究工作就是利用这两款芯片进行数字存储示波器的研究和设计。本文进行了底层硬件平台的研究设计、少量的软件驱动程序的设计和示波器的常用算法的研究工作。例如,根据设计便携式数字存储示波器的实际需要,采用了DSP+FPGA+单片机的设计方案;研究了高频电路的设计方法,独立完成了整个系统的硬件电路设计,并对其中的某些功能模块进行了调试,给出了部分调试报告;研究了FFT、滤波、插值算法。

本文的目的是采用FPGA+DSP+单片机来设计一个100M(重复带宽)的数字示波器。本设计中DC/100MHz的被测信号经过前端电路把信号调整到AD输入电

压的范围之内,经过AD转换变成数字信号,送到DSP中进行相关处理,之后通过DSP多缓冲串口送到单片机中,再由单片机把要显示的数据显示到LCD中的这么一个过程,去实现信号波形的检测。

本文已经完成了示波器硬件平台的搭建,对前端模拟电路的某些部分做了一下改进,触发电路部分抛弃了传统的模拟触发方式,采用了全数字化的触发方式。同时由于本文采用FPGA,使得数字存储示波器的设计较为灵活,容易升级,可以根据用户的需要实现电路的升级。在软件方面,由于示波器的软件量是非常庞大的。所以要想在很短的时间来完成它也是不可能的。本文只是完成了硬件平台的部分驱动程序。同时对示波器所要使用到的相关算法进行了相关的研究。

本课题是一个庞大的系统,其实践性很强,涉及知识非常多,受限于时间和个人的知识水平,尚存在以下不足之处需要以后加强。不足之处有:系统整体性能还有待进一步提高。包括示波器的带宽、存储深度,尤其是存储深度有待进一步提高,同时DSP的存储器容量也有待提高。整个系统LCD的更新速度有点慢,需要不断改进提高这个系统显示的更新速度。

第2章示波器的工作原理

2.1示波器的工作原理

了解示波器的工作原理是设计好示波器的第一步。示波器可以分为模拟示波器、数字存储示波器二类。下面对这两种示波器的工作原理作简要的介绍。

2.1.1模拟示波器的基本工作原理

模拟示波器工作方式是直接测量信号电压,并通过从左到右穿过示波器屏幕的电子束在垂直方向描绘电压。示波器屏幕通常是阴极射线管(CRT)。电子束投到荧幕的某处,屏幕后面总会有明亮的荧光物质。当电子束水平扫过显示器时,信号的电压是电子束发生上下偏转,跟踪波形直接反映到屏幕上。在屏幕同一位置电子束投射的频度越大,显示得也越亮。设置垂直标度(对伏特/ 格进行控制)后,衰减器能够减小信号的电压,而放大器可以增加信号电压。随后,信号直接到达CRT的垂直偏转板。电压作用于这些垂直偏转板,引起亮点在屏幕中移动。信号也经过触发系统,启动或触发水平扫描。水平扫描是水平系统亮点在屏幕中移动的行为。触发水平系统后,亮点以水平时基为基准,依照特定的时间间隔从左到右移动。许多快速移动的亮点融合到一起,形成实心的线条。

图2-1给出了模拟示波器的体系结构图。

图2-1模拟示波器体系结构图

2.2数字(存储)示波器的工作原理

数字存储示波器不是将波形存储在示波管内的存储栅网上, 而是存在存储

器中, 因而存储时间可以无限长。数字存储示波器主要利用A/D转换技术和数字存储技术来工作, 它能迅速捕捉瞬变信号并长期保存。该示波器首先对模拟信号进行高速采样以获得相应的数字数据并存储, 存储器中储存的数据用来在示波器的屏幕上重建信号波形; 然后利用数字信号处理技术对采样得到的数字信号进行相关处理与运算, 从而获得所需要的各种信号参数; 最后, 该示波器根据得到的信号参数绘制信号波形, 并对被测信号进行实时、瞬态分析, 以方便用户了解信号质量, 快速准确地进行故障诊断。数字存储示波器将输入模拟信号经过AD/转换, 变成数字信号, 储存在半导体存储器RAM中, 需要时将RAM中存储的内容读出显示在LCD, 或通过DA/转换, 将数字信号变换成模拟波形显示在示波管上。数字存储示波器可以采用实时采样, 每隔一个采样周期采样一次, 可以观察非周期信号川。数字示波器的采样方式包括实时采样和等效采样(非实时采样)。等效采样又可以分为随机采样和顺序采样, 等效采样方式大多用于测量周期信号。图2-2表数字示波器工作原理框图。

图2-2数字示波器工作原理框图

第3章DSP处理器和FPGA的开发过程简介

DSP在数字图像处理,音频信号处理等方面有着非常广泛的应用。它以其专门的硬件乘法器,特殊的信号处理指令使得它高速的运算速度比最快的CPU还快上好几十倍。FPGA是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA 的使用非常灵活,同一片FPGA通过不同的编程数据可以产生不同的电路功能。FPGA在通信、数据处理、网络、仪器、工业控制、军事和航空航天等众多领域得到了广泛应用。本章主要是针对DSP、FPGA的发展情况和开发流出作简要的介绍。

3.1 DSP处理器的开发过程和应用

随着DSP应用范围的扩大、处理能力的加强以及DSP更新速度的加快,DSP处理系统越来越复杂,对设计者来说难度也越来越大,为此有的厂家已产生出一定标准,依据标准来设计生产电路板的DSP处理模块,同时为这种标准模块提供丰富的软件开发系统和算法库。其中典型的如TMS320C4X和SDSP2106X,它们可以通过通信口和全局总线插座,将若干个模块安装在母板上,方便地组成多处理器系统。这种模块化设计降低了硬件设计难度,减少了硬件设计时间,有利于更高效的开发DSP系统。

目前各DSP芯片生产厂家已经把以上列出的各开发工具集成在一起,构成集成开发环境。例如TI公司的CCS IDE(Code poser Studio Integrated Development Environment)可以提供环境配置、源程序编辑、编译连接、程序调试、跟踪分析等各个环节,以加速软件开发进程,提高工作效率。它把编译、汇编、链接等工具集成在一起,用一条命令即可完成全部的汇编工作。另外把软、硬件开发工具集成在其中,使程序的编写、汇编、程序的软/硬件仿真和调试等开发工作在统一的环境中进行,给开发工作带来极大的方便。

3.1.1 DSP处理器发展历程以及发展现状

DSP发展历程大致分为三个阶段:70年代理论先行,大概在70年具备了完整的DSP的理论和算法基础。80年代DSP产品开始普及,随着电子技术的高速发展,1982年世界上诞生了第一块DSP芯片,很快DSP在语音合成和编码解码器中得到广泛应用。再加上CMOS工艺的诞生,使得DSP芯片的集成度性能都得到空前的提高,其存储容量和运算速度也成倍提高,其应用范围逐步扩大到通信、计算机领域。90年代突飞猛进。90年代相继出现了第四代和第五代DSP器件。将DSP芯核及外围组件综合集成在单一芯片上。同时DSP的价格也大幅度下降,使得DSP芯片不仅在高端的通信、计算机领域大显身手,而且在低端的家用电器等方面DSP也是应用越来越广泛。

3.1.2 DSP处理器的具体开发流程

对于DSP开发来说,可以根据不同情况来决定是否要选择操作系统。操作系统的使用可以在一定程度上缩短开发周期,但是操作系统对于实时性很高的场合来说就不一定合适。而没有操作系统的开发方式相对来说可能比较复杂一些,需要用户对DSP的硬件架构,对DSP的外围电路的驱动等非常熟悉。开发过程的时候,所有程序都是从硬件调试到初始化程序和应用程序都在CCS中进行开发。需要操作系统的开发方式相对来说简单一些,但是如果加入了操作系统之后,由于操作系统可以屏蔽到硬件的相关细节,用户即使不了解硬件的相关细节也可以进行开发,使得用户可以把精力专门集中在应用程序的开发上来。同时可以缩短开发周期。DSP开发工具主要包括有:C语言编译器(C piler)、汇编语言工具、汇编器(Assembler)、连接器(Linker)、归档器(Archive)、交叉引用歹愫(Cross Reference Lister)。如果是C语言程序,首先是C语言编译器将程序编译成汇编语言源程序,然后送到汇编器里面进行汇编,汇编后产生COEF格式的目标代码,再用连接器进行连接,生成DSP上可以执行的COEF格式的目标代码。然后就可以利用调试器对代码进行调试。调试正确之后就可以把代码写入到Flash里面了。

开发流程图3-1所示:

图3-1 DSP的开发流程

3.2 FPGA的开发过程与应用

随着现场可编程逻辑器件越来越高的集成度,加上不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得现场可编程逻辑器越来越广泛。

3.2.1 FPGA发展历程及现状

从Xilinx公司推出了世界上第一片FPGA(现场可编程逻辑芯片),FPGA已经

历几十年的发展。从最初的一千多可利用门,发展到90年代的几十万个可利用门,到十一世纪又陆续推出了几千万门的单片FPGA芯片。FPGA使用灵活,适用性强,特别适用于复杂逻辑的设计,有利用电子系统小型化,而且其开发周期短、开发投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场。

3.2.2 FPGA开发流程

FPGA开发流程可以分为如下几步:

①设计输入,设计输入主要包括原理图输入、状态图输入、波形图输入以及某种硬件描述语言,比如说是VHDL、Verilog的源程序。它是利用这些输入去描述一个电路的功能。

②功能仿真,功能仿真就是利用相关仿真工具对相关电路进行功能级别仿真,也就是说对你的输入设计的逻辑功能进行相关的模拟测试。在功能上面来了解电路是否能够达到预期要求。这里的功能仿真纯粹是模拟性质的,不会设计的任何具体器件的硬件特性。

③综合,综合就是行为或者功能层次表达的电子系统转换成低层次门级电路的网表。

④布局布线,就是将综合后的网表文件针对某一个具体的目标器件进行逻辑映射。此时应该使用FPGA厂商提供的实现与布局布线工具,根据所选芯片的型号,进行芯片内部功能单元的实际连接与映射。

⑤时序验证,就是要使得时序仿真过程中,建立与保持时间要符合相关的制约,以便数据能被正确的传输。使仿真既包含门延时,又包含线延时信息。能较好地反映芯片的实际工作情况。

⑥生成SOF等文件,此文件可以通过调试器把它下载到系统中间去。而FPGA 设计流程的其他步骤基本上由相关工具去完成,因此只要自己设置好相关参数,不要人为干预太多。而验证的话就需要用户花费大量的时间去完成。

第4章整体设计方案

在数字存储示波器的设计中主要分为两大部分:硬件设计和软件设计。本章主要介绍示波器系统整体的设计流程,系统整体性能参数以及最终方案的确定。并对所选的方案做了详细介绍,根据此方案确定了元器件的选择。

4.1系统整体设计流程图

开始设计一个系统的时候,第一步是撰写整个系统的方案。对整个系统如何实现应该有个详细的了解。方案确定之后,就要设计这个系统的具体性能指标。再然后根据这个系统的性能指标选择相关的元器件。这之后,就可以进行软硬件设计了。一般硬件和软件开发可以同时进行。这样在完成系统的软件和硬件之后。分别对软件和硬件进行调试。分别调试完成之后,就进行系统的集成。之后再进行整个系统的测试工作。

图4-1给出了系统的整体设计流程。

图4-1 系统的整体设计流程

4.2整个系统的性能指标

考虑到同类国产的示波器的性能指标,以及在具体电路中整个系统的实现难9易程度。故将设计目标定位于带宽在100M左右的数字存储示波器。并从成本等方面考虑,整个示波器系统只做了一个通道。采用的芯片也是尽量采用比较容易在市场上买到的和相对便宜的。同时由于时间等原因,本文只完成了整个系统的硬件设计和部分驱动程序的编写。并且对硬件电路进行了调试。调试的电路结果基本上达到了当初所想要达到的指标。但整个系统集成起来的调试工作还没有进行。

便携式数字存储示波器期望达到的具体设计参数如下:

①带宽:100MHZ(重复带宽)

②通道:单通道

③采样率:100MSPS(实时采样);

④垂直分辨率:8位

⑤垂直灵敏度:10mv-5v/div

⑥水平灵敏度:2.5ns-5S/div

⑦输入阻抗:1MΩ

⑧工作模式:自动,单次,常规

⑨存储深度:4KB

⑩显示:LCD(黑白;整个屏幕192x64点阵;对比度可调)

4.3系统的实现方案

数字存储示波器的设计方法一般是:信号通过调理电路之后,送到AD转换器将被测信号数字化,并将数据存入到存储器中,在信号出现触发脉冲之后,就可以开始显示数据。然后处理器从存储器中读出数据,直接以数字信号(显示器为液晶的时候)的形式,送到相应的显示器中进行显示波形。

方案:采用DSP+FPGA+单片机来实现整个系统。本设计就采用这个架构。这个结构既继承了采用DSP和FPGA的优点,同时也克服了因为LCD和键盘处理电路的速度慢而导致浪费DSP的时间资源的这个缺点。在本方案中,把LCD和键盘处理电路全部交给单片机进行管理。在这里DSP把数据通过多缓冲串口发送给单片机,然后由单片机把从DSP中接收到的数据送到LCD中去显示。同时单片机也可以读取键盘中的数据,通过串口发送给DSP芯片,进而去控制相关的电路。

系统的整体设计框图如图4-2所示。

图4-2 系统的整体设计框图

4.3.1实现方案的介绍

从图4.2中可以看出,整个硬件平台和其他的数字存储示波器一样也是采用模块化设计的方式,整个系统基本上是由三大部分模块组成:它们分别为数据采集部分、数据处理部分和数据显示部分。数据采集部分完全由FPGA来进行控制,DSP只负责数据的后期处理,系统其他功能由单片机来实现。

FPGA要控制前端数据通道,对采集到的数据缓存,而且还要使数据缓存单元和DSP处理器进行通信,这在整个系统中具有重要的地位。同时又由于FPGA 的可编程性,使得前端采集电路的设计非常灵活,调试起来也非常方便。DSP主要负责把采集的数据进行处理。比如像滤波、傅立叶变换等,同时负责波形重建,波形重建这里主要会采用内插算法来重建波形。而后端的单片机主要负责系统的人机接口和数据显示。整个系统的工作流程是这样的:由上图也可以看出,要测量的波形经过衰减或者放大电路之后分为二路:一路送整形电路整形之后产生矩形波信号,然后利用FPGA的测频电路测量波形的频率;另外一路送A/D转换器进行AD转换。AD的采样率使它恒定为1OOM/S。转换后的数字信号要先送到FIFO存储器中暂存,FIFO的存储是靠FIFO的写时钟来实现,而FIFO的写时钟是由FPGA中的分频电路产生的。这样示波器就能根据用户键盘中设置的相关参数选用想要的读写时钟。FIFO就利用FPGA中的RAM资源。比如这里选用的FPGA 里面就有5K的RAM资源供用户选择。这样数据采集进来就可以直接存储在FPGA 中,这样做就不需要专门的FIFO芯片,同时直接在FPGA中定制FIFO存储器,可以提高整个系统的性能,使得整个系统的速度更快。随着写时钟的到来,FFIO 存满之后,DSP处理器就从FIFO中读取数据来进行处理,处理之后就由DSP送到单片机中进行显示。图中FLASH模块的是程序存储器,即整个系统的软件都固化在FLASH中。RAM模块是数据存储器,整个系统运行的时候,首先DSP利用已经固化在ROM中的BOOT程序把FLASH中的程序搬到RAM中运行。这里键盘和LCD模块是用来进行输入控制和输出显示。在调试的时候同时我们也设计了两

个JATG接口,分别用来对FPGA和DSP进行调试。

4.4元器件的选择

的选择也是非常重要的一环,如果选择的不好,就会严重影响进度。在这里你选择的元件的时候要根据自器件的选择的总的指导原则是性价比高、市场上容易买到。其实系统元器件己定制的系统性能指标选择能够满足要求的元件。本系统所选择的元件如表4-3所示。

表4-3 所选元件及功能介绍

第5章整个系统硬件设计

整个系统的关键电路其实还是在前端通道、模数转换这两块前端电路的设计,这主要是因为对于一个电路来说,如果信号频率达到100M的话,要考虑的因素就会很多,比如如何去减小电路中数字电路对模拟电路的信号的影响,因为模拟电路它是非常敏感的,一点点干扰就可能会使得被测的信号出现失真,同时对于高频电路来说,阻抗匹配等因素也是会影响到整个电路的性能。这样对于前端调理电路来说,就会碰到动态范围、宽频的挑战。

图5-1为硬件平台的总体框图,从图5-1可以看出,整个硬件平台主要包括有四个部分模块,分别为:前端数据采集部分硬件电路设计;FPGA内部控制逻辑和外围电路;数据处理部分的硬件设计;平台调试接口;电源、晶振及复位电路模块。

然后按照被测信号的走向依次对图中的每个部分中的每一个硬件模块进行介绍,主要介绍各模块的功能、工作原理、实现方法,以及具体实现的电路图。

图5-1 硬件平台的总体框图

5.1前端数据采集部分硬件电路设计

这部分的电路主要有信号衰减、放大电路、信号整形电路、AD转换电路以及这些电路与FPGA的接口电路。下面分别来进行介绍。

5.1.1信号的衰减电路

被测信号从前端输入进来,为了满足AD转换的电气性能首先必须把信号调节到一个合适的范围之内。通常情况下,如果输入进来的被测信号的电压范围超过AD转换的电压范围时,就要对信号衰减,这种衰减电路我们必须考虑输入信号的频率高低。由于在衰减过程中,频率范围很宽的时候很容易出现畸变,所以通常做衰减网络的时候采用的是无源电阻、电容网络。这种无源阻容网络由于信号的频率特性,比如说在低频的时候就直接表现为电阻分压比,在高频的时候就为电抗的分压得到信号的衰减。其实这种衰减本质上是为一个平衡电桥。比如在我们的示波器探头中就可能存在一个可调电容,通过调节它使得我们的电桥达到一种最佳状态。这样衰减就可以变得和频率没有关系。使得信号衰减可以在一个大的频带范围内实现信号衰减。图5-2是一个典型的信号衰减电路。

图5-2 信号衰减电路

本系统所设计的电压衰减网络主要是由电阻和电容所组成。多路选择开关控制被测信号衰减的倍数,最大可以实现100倍的衰减。信号输入最大为50V,经过100倍衰减以后将变成0.5V,刚好在AD转换的电压范围之内。衰减的具体控制是由处理器来进行控制。考虑到信号输入的频带宽度。本系统选择的模拟多路开关为MAX4547来实现。它工作的信号频带宽,可以控制直流到300MHz的信号。其结构如图5-3所示:

示波器原理及其应用分析解析

示波器原理及其应用 示波器介绍 示波器的作用 示波器属于通用的仪器,任一个硬件工程师都应该了解示波器的工作原理并能够熟练使用示波器,掌握示波器是对每个硬件工程师的基本要求。 示波器是用来显示波形的仪器,显示的是信号电压随时间的变化。因此,示波器可以用来测量信号的频率,周期,信号的上升沿/下降沿,信号的过冲,信号的噪声,信号间的时序关系等等。 在示波器显示屏上,横坐标(X)代表时间,纵坐标(Y)代表电压,(注,如果示波器有测量电流的功能,纵坐标还代表电流。)还有就是比较少被关注的-亮度(Z),在TEK的DPO示波器中,亮度还表示了出现概率(它用16阶灰度来表示出现概率)。 1.1.示波器的分类 示波器一般分为模拟示波器和数字示波器;在很多情况下,模拟示波器和数字示波器都可以用来测试,不过我们一般使用模拟示波器测试那些要求实时显示并且变化很快的信号,或者很复杂的信号。而使用数字示波器来显示周期性相对来说比较强的信号,另外由于是数字信号,数字示波器内置的CPU或者专门的数字信号处理器可以处理分析信号,并可以保存波形等,对分析处理有很大的方便。

1.2.1 模拟示波器 模拟示波器使用电子枪扫描示波器的屏幕,偏转电压使电子束从上到下均匀扫描,将波形显示到屏幕上,它的优点在于实时显示图像。 模拟示波器的原理框图如下: 见上图所示,被测试信号经过垂直系统处理(比如衰减或放大,即我们拧垂直按钮-volts/div),然后送到垂直偏转控制中去。而触发系统会根据触发设置情况,控制产生水平扫描电压(锯齿波),送到水平偏转控制中。 信号到达触发系统,开始或者触发“水平扫描”,水平扫描是一个是锯齿波,使亮点在水平方向扫描。触发水平系统产生一个水平时基,使亮点在一个精确的时间内从屏幕的左边扫描到右边。在快速扫描过程中,将会使亮点的运动看起来

数字示波器基础知识

数字示波器基础知识 耦合 耦合控制机构决定输入信号从示波器前面板上的BNC输入端通到该通道垂直偏转系统其它部分的方式。耦合控制可以有两种设置方式,即DC耦合和AC耦合。 DC耦合方式为信号提供直接的连接通路。因此信号提供直接的连接通路。因此信号的所有分量(AC 和:DC)都会影响示波器的波形显示。 AC耦合方式则在BDC端和衰减器之间串联一个电容。这样,信号的DC分量就被阻断,而信号的低频AC分量也将受阻或大为衰减。示波器的低频截止频率就是示波器显示的信号幅度仅为其直实幅度为71%时的信号频率。示波器的低频截止频率主要决定于其输入耦合电容的数值。 和耦合控制机构有关的另一个功能是输入接地功能。这时,输入信号和衰减器断开并将衰减器输入端连至示波器的地电平。当选择接地时,在屏幕上将会看到一条位于0V电平的直线。这时可以使用位置控制机构来调节这个参考电平或扫描基线的位置。 输入阻抗 多数示波器的输入阻抗为1MΩ和大约25pF相关联。这足以满足多数应用场合的要求,因为它对多数电路的负载效应极小。 有些信号来自50Ω输出阻抗的源。为了准确的测量这些信号并避免发生失真,必须对这些信号进行正确的传送和端接。这时应当使用50Ω特性阻抗的电缆并用50Ω的负载进行端接。某些示波器,如PM3094和PM3394A,内部装有一个50Ω的负载,提供一种用户可选择的功能。为避免误操作,选择此功能时需经再次确认。由于同样的理由,50Ω输入阻抗功能不能和某些探头配合使用。 相加和反向 简单的把两个信号相加起来似乎没有什么实际意义。然百,把两个有关信号之一反向,再将二者相加,实际上就实现了两个信号的相减。这对于消除共模干扰(即交流声),或者进行差分测量都是非常有用的。 从一个系统的输出信号中减去输入信号,再进行适当的比例变换,就可以测出被测系统引起的失真。 由于很多电子系统本身就具有反向的特性,这样只要把示波器的两个输入信号相加就能实现我们所期望的信号相减。 带宽

简易数字存储示波器.DOS

摘要 数字存储示波器是随着数字电路的发展而发展起来的一种具有存储功能的新型示波器。原先人们看好的模拟示波器的一些优点,目前数字示波器已完全能够做到,特别是在捕获非重复信号、避免信号的虚化和闪烁、在时间上从触发事件反问寻迹——实现在电路中隔离故障等方面,数字示波器显示出了模拟示波器无可比拟的优势。因此,数字示波器由于其优势的性能、良好的性能价格化,刚一问世,就显示出它强大的生命力,各行各业均迫切需要,有其广阔的发展前途.。 本简易数字存储示波器,以单片机和可编程逻辑器件(CPLD)为控制核心,由通道调理、触发、波形显示等功能模块组成。本系统对触发系统、水平扫描速度和垂直灵敏度的自动设置功能(AUTOSET)及波形参数测量等功能进行了重点设计。使仪器最后具有单次触发存储显示方式及锁存功能,又可以对某段瞬时波形进行即时存储和连续回放显示。设计中采用了模块化设计方法,并使用了多种EDA工具,提高了设计效率。整个设计实现了存储示波器的所有功能要求,达到较高的性能指标。 关键词:可编程逻辑器件,存储器,转换器,数字存储示波器,单片机

ABSTRACT It is that one developed with development of the digital circuit is new-type oscillograph which stores the function that the figure stores the oscillograph . Original ancestors see some advantages of the good simulation oscillograph , the digital oscillograph can already be accomplished at present, catching and is not repeating the signal, avoiding melting and glimmers specially emptily, reply the mark of seeking from the incident of touching off on time of the signal --Realizing it in isolating the trouble in the circuit etc., the digital oscillograph demonstrates the incomparable advantage of the simulation oscillograph . So digital oscillograph because performance , good performance price of advantage their, just coming out , demonstrated its strong vitality, all trades and professions needed urgently , there is its wide development prospect. . T his simple and easy figure stores the oscillograph, regard one-chip computer and programmable logic device (CPLD ) as the core of controlling, nursed one's health, touched off by the pass-way, the wave form shows, etc. the function module makes up . Such functions as automatic establishment function (AUTOSET ) and wave form parameter that this system scanned the speed and vertical sensitivity in touching off system , level are measured have been designed especially. Make the instrument have single time to touch off and store the display mode and latch the function finally, can store and show with the continuous playback immediately a section of instantaneous wave forms . Have adopt the module design method in the design, has used many kinds of EDA tools, have improved design efficiency. The whole of functions of designing and realizing storing the oscillograph require , reach the higher performance index Keyword: Programmable logic device, the memory , the converter, the figure stores the oscillograph , Micro Computer Unite

基于FPGA的简易数字示波器工作原理及方框图

基于FPGA的简易数字示波器工作原理及方框图 摘要:本文介绍了一种基于FPGA的采样速度60Mbit/s的双通道简易数字示波器设计,能够实现量程和采样频率的自动调整、数据缓存、显示以及与计算机之间的数据传输。 关键词:数据采集;数字示波器;FPGA 引言 传统的示波器虽然功能齐全,但是体积大、重量重、成本高、等一系列问题使应用受到了限制。有鉴于此,便携式数字存储采集器就应运而生,它采用了LCD显示、高速A/D采集与转换、ASIC芯片等新技术,具有很强的实用性和巨大的市场潜力,也代表了当代电子测量仪器的一种发展趋势,即向功能多、体积小、重量轻、使用方便的掌上型仪器发展。 系统组成结构及工作原理 系统的硬件部分为一块高速的数据采集电路板.html' &111nmouseover="javascript:showpos(event,this)" &111nmouseout="javascript:ClearTimer()" target="_blank" style="color:#00A2CA">电路板。它能够实现双通道数据输入,每路采样频率可达到60Mbit/s。从功能上可以将硬件系统分为:信号前端放大及调理模块、高速模数转换模块、FPGA逻辑控制模块、单片机控制模块、USB数据传输模块、液晶显示和键盘控制等几部分,其结构形式如图1所示。 图1 系统原理结构图 输入信号经前置放大及增益可调电路转换后,成为符合A/D转换器要求的输入电压,经A/D转换后的数字信号,由FPGA内的FIFO缓存,再经USB接口传输到计算机中,供后续数据处理,或直接由单片机控制将采集到的信号显示在液晶屏幕上。 高速数据采集模块 本系统可实现双通道同步数据采集,而且每通道的采集速度要达到60Mbit/s,考虑到两路数据采集应保持同步并行,因此在设计中采用每通道都有独自的采样保持器和A/D转换器。选用MAXIM公司MAX1197型A/D转换器,它是一款双通道、3.3V供电、每通道60Mbit/s采样频率的模数转换器芯片。它内部集成双路差分宽带采样保持器和A/D转换器,可以输出锁存,具有低功耗、小尺寸、高动态性能的特点。 本系统的测量电压的范围可达到±300V,采用示波器探头和电路板上分压的方法将输入信号先进行1:1或10:1或100:1衰减,然后再通过后续电路处理以满足A/D转换器的输入电压范围要求。

数字示波器及其简单原理图

数字示波器及其简单原理图 数字示波器可以分为数字存储示波器(DSOs)、数字荧光示波器(DPOs)、混合信号示波器(MSOs)和采样示波器。 数字式存储示波器与传统的模拟示波器相比,其利用数字电路和微处理器来增强对信号的处理能力、显示能力以及模拟示波器没有的存储能力。数字示波器的基本工作原理如上图所示当信号通过垂直输入衰减和放大器后,到达模-数转换器(ADC)。ADC 将模拟输入信号的电平转换成数字量,并将其放到存贮器中。存储该值得速度由触发电路和石英晶振时基信号来决定。数字处理器可以在固定的时间间隔内进行离散信号的幅值采样。接下来,数字示波器的微处理器将存储的信号读出并同时对其进行数字信号处理,并将处理过的信号送到数-模转换器(DAC),然后DAC的输出信号去驱动垂直偏转放大器。DAC也需要一个数字信号存储的时钟,并用此驱动水平偏转放大器。与模拟示波器类似的,在垂直放大器和水平放大器两个信号的共同驱动下,完成待测波形的测量结果显示。数字存储示波器显示的是上一次触发后采集的存储在示波器内存中的波形,这种示波器不能实时显示波形信息。其他几种数字示波器的特点,请参考相关书籍。

Agilent DSO-X 2002A 型数字示波器面板介绍

该示波器有两个输入通道CH1和CH2,可同时观测两路输入波形。选择通道1时,示波器仅显示通道1的信号。选择通道2时,示波器仅显示通道2的信号。选择双通道时,示波器同时显示通道1信号和通道2信号。 荧光屏(液晶屏幕)是显示部分。屏上水平方向和垂直方向各有多条刻度线,指示出信号波形的电压和时间之间的关系。 操作面板上的各个按钮按下后,相应参数设置会显示在荧光屏上。 开机后,荧光屏显示如下: 测试信号时,首先要将示波器的地(示波器探笔的黑夹子)与被测电路的地连接在一起。根据输入通道的选择,将示波器探头接触被测点(信号端)。按下Auto Scale,示波器会自动将扫描到的信号显示在荧光屏上。 输入耦合方式:模拟示波器输入耦合方式有三种选择:交流(AC)、地(GND)、直流(DC);部分数字示波器则没有GND耦合这种方式,其通过在屏幕上直接标注零电平线的位置的方法来实现GND耦合(用来确定零电平线)的功能。当选择“地”时,扫描线显示出“示波器地”在荧光屏上的位置。直流耦合用于测定信号直流绝对值和观

简易数字存储示波器

简易数字存储示波器 06204526 程杰

图片预览

一、任务分析 制作一个简易数字存储示波器,其结构框图如下图所示 二、方案论证与比较 1.波形采集模块 波形采集模块采用AD 转换芯片将模拟波形信号转换为数字信号发送给单片机,有如下几种方案: 方案1 采用片外并行AD 芯片,如ADC0809。 优点:使用广泛,参考资料很多。 缺点:并行接口占用单片机口线较多,接线复杂。 方案2 采用单片机内置AD 转换功能,如A VR 、C8051等单片机内置的ADC 优点:集成在单片机内部,不需要额外连线,方便易行。 缺点:片内集成的ADC 速度较低,无法采集频率高的信号,没有独立多路AD , 多通道AD 会降低采样速度。 方案3 采用片外串行高速ADC 芯片如maxim 公司的高速ADC 串行芯片,外加 FPGA 控制采样。 优点:速度块,占用单片机口线少,可以很容易实现MHz 级别的波形采样 缺点:价格昂贵,资料较少。

综合考虑价格和易行性,本系统采用方案2,采用A VR mega64芯片中的内置ADC。2.微处理控制模块 微处理控制模块采用单片机来完整,经济可行: 方案1 采用经典80C51系列单片机 优点:使用广泛,资料丰富 缺点:功能较少,性能较弱 方案2 采用atmel公司的高档8位单片机A VRmega64 优点:高性能,价格相对较低,内置ADC 缺点:上市时间较短,资料少 方案3 采用atmel公司的高档8位单片机A VRmega64控制显示部分,外加一片FPGA控制采样 优点:FPGA采样速度快,单片机控制显示方便,取长补短 缺点:系统较为复杂 由于本人对A VR单片机使用较为熟悉,所以本系统采用方案2,即A VRmega64来完成,其基本性能指标如下: ·先进RISC结构,性能达到1MHz有1MIPS ·64KB Flash程序存储空间 ·4KB SRAM 、4KB EEPROM ·内置I2C、SPI、PWM、ADC等功能 ·支持在线编程ISP功能 3.存储模块 存储模块采用SRAM来存储波形采集模块所采集到的波形,有如下三种方案: 方案1 采用外置一片62256和74HC573作为锁存器,扩展单片机的存储空间优点:外扩空间容量很大 缺点:接线复杂,出现错误不容易排查 方案2 采用A VR 单片机内置4KB RAM,划分出约2KB空姐供存储波形数据,也可以存储数十页的数据。 优点:无须接线,体现了高档单片机RAM大的优势 缺点:空间较少,需要大量存储时仍然不够 方案3 利用FPGA内部的SRAM

基于FPGA和ARM的数字存储示波器控制系统的设计

匡圜銎兰妻茎量鳖鲨兰釜塑生文章编号:1671—4598【2010)03一0575一02中图分类号:TP274;TM935文献标识码:A 基于FPGA和ARM的数字 存储示波器控制系统的设计 李仪,潘佑华 (东莞理工学院,广东东莞523808) 摘要:本数字示渡器以FPGA和ARM9(s3c2410)为核心芯片。由输入信号调制、触发控镧、数据采集、数据处理、波形显示和操作面板等功能模块组成;既具有一般示波器实时采样的功能,还具有等效采样和预触发的功能;在显示上以LCD触摸屏的方式,通过ARM9与FPGA的通讯能在LcD800×480上显示被测信号的频率和扫描速度等;设计中采用模块化设计方法,并使用了多种EDA工具,提高了设计的效率。 关键词:数字示波器;实时采样I等效采样;A/D、D/A转换f触发控制 DesignofDigitalOscillographControlSystemBasedonFPGAand ARM LiYi。PanYouhua (DongGuanUniversityofTechnology,DongGuan523808,China) Abtr扯t:ThisdigitaloscillographusedFPGA曲dARM9(S3Cz410)asitscorechip.includingchannelintroductionprocess,triggercontroller,datasampling,datapmcessing,waveformdisplayandoperatingpanel.Itnotonlyhsthefunctionofreal—timesampIing,butalsohasthefunctionofrealtimesamplingandpre—triggercontroI.ItuseLCDandtouchscreentodi印Iay.Throughthecommunicatebe—tweenFPGAandARM9,thefrequencyandratecanbedisplayedonLcD800×480.The8ystemappliedmodularizationdesignmethodandusedmanifoldtoolsofEDA,whichmakethedesignmoreefficient. Keywor出:digitaloscillograph;r钮l—timesampIing;equivalenttimesampling;A/D、D/Aconverter;triggercontrol 0引言 随着大规模集成电路技术、信号分析与处理技术及嵌人式微处理器软硬件技术的迅速发展,现代电子测量技术与仪器领域也在不断探讨新的仪器结构和新的测试理论及方法。数字存储示波器作为电子测量系统中应用最为普遍的电子测量仪器之一,是工业控制和教学科研常用的基础仪器,是电子技术教学和电子产品生产中不可缺少的设备,也是教学研究或生产中的主要投资内容。 数字存储示波器集A/D技术与ASIC技术、FPGA技术、ARM技术,LCD显示技术于一体,具有极高的技术含量、很强的实用性和巨大的市场潜力。数字示波器的主要技术指标有带宽、采样速率、存储深度和波形更新速率。为了保证测试信号幅度和上升沿的精度,选择示波器的带宽应为被测信号频率的3~5倍,精确测量要8~10倍或以上;对于采样速率和存储深度,一般制造商给出的采样速率都是最大值,即在最快扫描时问下所达到的采样速率,但是在实际的测量中,采样速率是一个变化的指标,随着扫描时间的变慢,采样速率也相应降低,所以它的实际值取决于时基和存储深度[1]。本设计通过对数字存储示波器的研究与设计,进一步提高仪器的整体性能。 收藕日期:2009—10一19I修回日期:2009—11—27. 基金项目:国家自然科学重大基金项目(10890095)I广东省工业攻关计划(2005810101042)。 作者简介:李仪(1965一).男,广东湛江人。高级工程师,电子学院实验中心主任,主要从事电路与显示技术方向的研究。1系统设计 本系统设计框图如图1所示。整个系统以可编程逻辑器件(FPGA)和ARM9(S3C2410)为核心,包括前端信号处理电路,A/D与D/A转换电路、触发电路、数据采集处理电路、波形显示控制电路和人机交换电路等组成。本设计通过FPGA作为高速控制核心实现对外围输入模拟信号的采样,对AD等芯片的控制。对采样的信号进行处理,对波形参数的计算等c“。用ARM9作为主控制器,控制FPGA工作,通过编程设置实现测频、显示驱动、波形存储控制等功能和点阵液晶模块实现人机交互[3]。 -堆衬悭蚪覃 L叫网叫翮 笸捌 ● 蜜罾输帏 唾擒 ◆ 1人机交l l垫墨匦I 图1示波器原理框图 2前端信号处理电路 因为外部输入信号的幅度不一,但后级A/D转换电路对输入的信号的幅度有一定的要求,若输入信号的幅度不在A/D转换芯片的正常工作幅度范围内,则A/D芯片就不能正常的工作,那么整个系统也就不能正常运转。所以前端电路就要实现对外部输入信号幅度控制,若输入信号的幅度高于A/D芯片正常工作的范围,则先对这信号进行适量的衰减;若输人 中华测控网 chin锄ca.com 万方数据

数字示波器原理及使用

数字示波器的原理及使用 【摘要】示波器就是以直角坐标为参数系,以时间扫描为时基两维地显示物理量——电量瞬时变化的仪器,它不但能观测低频信号(包括单次信号),同时也能观测高频信号与快速脉冲信号 ,并能对其表征的参量进行分析与测量。随着数字集成电路技术的发展而出现的数字存储示波器,不但能对波形进行显示,还能对波形进行存储、分析、计算,并能组成自动测试系统,使之成为了电子测量领域的基础测试仪器之一。 关键词:示波器,信号,数字集成电路,数字存储 【Abstract】Oscilloscope is an instrument that can display electrical signals in rectangular coordinates system based on amplitude and time、It can not only observe the low-frequency signal (including single signal), but also the high-frequency signal and pulse signal, and parameters on the characterization of the analysis and measurement、The digital storage oscilloscope was invented with the development of digital integrated circuit technology, which can not only display the waveform but also can store, analysis, calculate the Parameters of the signal and can form an automatic testing system、The digital storage oscilloscope have become one of the basic testing instrument for electronic measurement 、 Keywords: oscilloscope,signal,digital integrated circuit, digital storage oscilloscope 1、前言 随着数字集成电路技术的发展,数字式示波器的出现以其存储波形及多种信号分析、计算、处理等优良的性能逐步取代模拟示波器。与模拟示波器相比,数字示波器可以实现高带宽及方便地实现对模拟信号波形进行长期存储并能利用机内微处理器系统对存储的信号做进一步的处理,例如对被测波形的频率、幅值、前后沿时间、平均值等参数的自动测量以及多种复杂的处理。 2、数字示波器的基本原理 2、1数字存储示波器的组成原理 典型的数字示波器原理框图如图2、1所示,它分为实时与存储两种工作状态,当其以实时状态工作时,其电路组成原理与模拟示波器相同。当其以存储状态工作时,它的工作过程一般分为存储与显示两个阶段,在存储工作阶段,模拟输入信号先经过适当的放大或衰减,然后经过采样与量化两个过程的数字化处理,将模拟信号转化成数字信号后,在逻辑控制电路的控制下将数字信号写入到存储器中。量化过程就就是将采样获得的离散值通过 A/D转换器转换成二进制数字。采样,量化及写入过程都就是在同一时钟频率下进行的。在显示工作阶段,将数字信号从存储器中读出来,并经D/A转换器转换成模拟信号,经垂直放大器放大加到CRT 的Y偏转板。与此同时,CPU的读地址计数脉冲加之D/A转换器,得到一个阶梯波的扫描电压,加到水平放大器放大,驱动CRT的X偏转板,从而实现在CRT上以稠密的光点包络重现模拟信号。

基于FPGA的数字示波器

基于FPGA的数字示波器

论文题目: 基于FPGA的数字示波器

1.摘要 (4) 2.原理 (4) 3.系统方案对比及分析 (5) 3.1.以FPGA来实现整个系统 (5) 3.2.采用DSP与FPGA来实现整个系统 (5) 3.3.采用FPGA与单片机来实现整个系统 (5) 4.系统设计方案 (6) 5.系统框图 (6) 6.系统技术指标 (7) 7.AD模块简介 (7) 8.频率测量模块及方案比较 (7) 8.1.测周期法 (8) 8.2.测频率法 (8) 8.3.方法选择及使用 (8) 8.4.Verilog设计结构 (9) 9.数据处理模块 (10) 10.FIFO存储模块 (10) 10.1.FIFO_1 (10) 10.2.FIFO_2 (10) 11.Nios II软核模块 (11) 12.VGA显示 (11) 13.系统软件构架设计 (12) 13. Nios II软件实现 (14) 14.1.DMA传输 (14) 14.2.1.PIO中断 (15) 14.系统的测试和分析 (16) 15.总结 (23) 16.参考文献 (24)

1.摘要 随着信息技术的发展,对信号的测量技术要求越来越高,示波器的使用越来越广泛。数字示波器是模拟示波器技术、数字化测量技术、计算机技术的综合产物,他主要以微处理器、数字存储器、A/D转换器和D/A转换器为核心,输入信号首先经A/D转换器转换成数字信号,然后存储在RAM中,需要时再将RAM中的内容读出,经D/A转换器恢复为模拟信号显示在示波器上,或者通过接口与计算机相连对存储的信号作进一步处理,这样可大大改进显示特性,增强功能,便于控制和智能化。这种数字示波器中看到的波形是由采集到的数据经过重构后得到的波形,而不是加到输入端上信号的波形。设计提出一个经过优化的数据采集方法,辅以FPGA为主控制器和必备的外围电路完成了基于FPGA的数字存储示波器的设计。系统最大限度地利用了FPGA的高速数字信号处理能力以及众多硬核和软核内嵌的特性,降低了成本和开发难度,且性能优良。 2.原理 数字示波器具有存储数据的能力,数字存储就是在示波器中以数字编码的形式来贮存信号。当信号进入数字存储示波器,或称 DSO 以后,在信号到达CRT 的偏转电路之前,示波器将按一定的时间间隔对信号电压进行采样。然后用一个模/数变换器(ADC)对这些采样值进行变换从而生成代表每一个采样电压的二进制字。这个过程称为数字化。获得的二进制数值贮存在存储器中,对输入信号进行采样的速率称为采样速率。采样速率由采样时钟控制。对于一般使用情况来说,采样速率的范围从每秒 20 兆次(20MS/s)到 200MS/s。存储器中贮存的数据用来在示波器的屏幕上重建信号波形。所以,在DSO中的输入信号接头和示波器 CRT 之间的电路不只是仅有模拟电路。输入信号的波形在 CRT 上获得显示之前先要存贮到存储器中,我们在示波器屏幕上看到的波形总是由所采集到数据重建的波形,而不是输入连接端上所加信号的直接波形显示。示波器原理框图如下:

数字存储示波器的工作原理及软硬件系统的设计

数字存储示波器的工作原理及软硬件系统的设计 与传统模拟示波器相比.数字存储示波器不仅具有可存储波形、体积小、功耗低,使用方便等优点,而且还具有强大的信号实时处理分析功能。在电子测量领域,数字存储示波器正在逐渐取代模拟示波器。但目前我国使用高性能数字存储示波器主要依靠国外产品,而且价格昂贵。因此研究数字存储示波器具有重要价值。借于此,提出了一种简易数字存储示波器的设计方案,经测试,性能优良。 2 数字存储示波器基本工作原理 数字存储示波器与模拟示波器不同在于信号进入示波器后立刻通过高速A/D转换器将模拟信号前端快速采样,存储其数字化信号。并利用数字信号处理技术对所存储的数据进行实时快速处理,得到信号的波形及其参数,并由示波器显示,从而实现模拟示波器功能,而且测量精度高。还可存储信号,因而,数字存储示波器可以存储和调用显示特定时刻信号。 3 系统分析论证 3.1 A/D实时采样 根据奈奎斯特采样定理,采样速率必须高于2倍的信号最高频率分量。对于正弦信号,一周期内应有2个采样点。为了不失真恢复被测信号,通常一周期内需要采样8个点以上。为了配合高速模数转换器,采用FPGA控制M/D转换器的采样速率,以实现高速实时采样。实时采样可以实现整个频段的全速采样,本系统设计选用ADI公司的12位高速A/D 转换器AD9220,其最高采样速率可达10 MHz。 3.2 双踪显示 本系统设计的双踪显示模块是以高速切换模拟开关选通两路信号进入采样电路,两路波形存储在同一个存储器的奇、偶地址位。双踪显示时,先扫描奇地址数据位,再扫描偶地址数据位。采用模拟开关代替一个模数转换器,避免两片高速A/D转换器相互干扰,降低系统调试难度,并且实现系统功能。 3.3 触发方式

简易数字存储示波器研究

简易数字存储示波器研究 基于MCU8051和FPGA的控制平台,采用实时采样与等效采样两种方式实现了时频率为10Hz-10MHz的波形数据的实时采样,存储与回放。做到垂直灵敏度含1v/div,0.1v/div和2my/div三档,扫描速度合20ms/div,2uv/div,100ns/div 三档。系统的频率测量精度达0.001Hz,电压测量精度达0.05V。自带100KHz 方波信号为系统测频时钟与电压基准源的进行自动校准,此外,还实现了对波形数据的单次触发存储与调出功能和AUTO显示功能。 标签:数字存储;示波器;等效采样;实时采样 1引言 数字存储示波器是20世纪70年代初发展起来的一种新型示波器。这种类型的示波器可以方便地实现对模拟信号波形进行长期存储并能利用机内微处理器系统对存储的信号做进一步的处理,例如对被测波形的频率、幅值、前后沿时间、平均值等参数的自动测量以及多种复杂的处理。数字存储示波器的出现使传统示波器的功能发生了重大变革。 2数字存储示波器基本工作原理 数字存储示波器在信号进入示波器后立刻通过高速A/D转换器将模拟信号快速采样、存储。通过单片机对信号进行处理,得到信号的波形参数,存储并通过D/A转换器后可由示波器显示,从而实现模拟示波器的功能。但相对于模拟示波器,数字示波器测量精度高,还可对信号进行存储。本系统的原理方框图如图1所示: 3系统功能模块与硬件电路 基于数字示波器的基本原理,可以把整个系统分为频率测量、采样保持、触发方式选择、位置调节、显示控制几个主要的模块。模拟信号通过信号调理模块(阻抗变换、程控放大、触发电路),将模拟信号的幅值大小调整到高速AD(AD9225)的输入范围0V-4V。然后通过AD9225对信号进性采样。我们采用外部有源晶振作为高速AD的采样时钟来控制恒定的采样率4MHz(晶振的固有振荡频率),在FPGA内部增加波形存储控制模块,当满足触发条件时FP-GA以下抽样的方式对AD转换得到的数据进行存储,抽样频率由可水平分辩率来控制(若为AUTO功能,则与信号的频率有关)。将抽样的数据分别存储到双口RAM中,在送人行列扫描电路(2片DAC0800)前经过了波形显示控制模块,它的作用是对RAM的数据及读入起始地址的进行处理。从而实现波形在模拟示波器上的左右平移。同时在FPGA内部实现了512点的FFT计算,成功得分析了输入信号的频谱。系统的连接框图如图2所示:

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

数字存储示波器的使用

数字存储示波器的使用

实验二数字存储示波器的使用 加灰色底纹部分是预习报告必写部分 示波器是一种常用的电子仪器,主要用于观察和测量各种电信号。配合各种传感器把非电量转换成电量,示波器也可以用来观察各种非电量的变化过程。示波器有多种类型和型号,但它们基本原理是相同的。本实验是用双信号发生器的输出信号在示波器中合成李萨如图形。 [实验目的] 1.了解示波器的主要结构和显示波形的基本原理。 2.学会使用函数信号发生器。 3.学会用示波器观察波形以及测量电压、周期和频率等。 4、理解李萨如图形合成原理及方法。 [实验仪器] DS1052E型数字存储示波器、DG1022双通道函数/任意波形发生器、连接线(2根) 【示波管的简单介绍】

示波管如图1所示 示波管包括有: (1)一个电子枪,它发射电子,把电子加速到一定速度,并聚焦成电子束; (2)一个由两对金属板组成的偏转系统;(3)一个在管子末端的荧光屏,用来显示电子束的轰击点。 所有部件全都密封在一个抽成真空的玻璃外壳里,目的是为了避免电子与气体分子碰撞而引起电子束散射。接通电源后,灯丝发热,阴极发射电子。栅极加上相对于阴极的负电压,它有两个作用:①一方面调节栅极电压的大小控制阴极发射电子的强度,所以栅极也叫控制极;②另一方面栅极电压和第一阳极电压构成一定的空间电位分布,使得由阴极发射的电子束在栅极附近形成一个交叉点。第一阳极和第二阳极的作用一方面构成聚焦电场,使得经过第一交叉点又发散了的电子在聚焦场作用下又会聚起来;另一方面使电子加速,电子以高速打在荧光屏上,屏上

③数字滤波的频率上线 MATH 为系统的数学运算界面 REF 为导入导出已保存的文件菜单或保存文件,但不存储X-Y方式的波形 设置水平系统HORIZONTAL(MENU、POSITION(水平位置) SCALE(水平范围) MENU ①延迟扫描:用来放大一段波形,以便查看图形细节②时基:Y-T、X-Y(水平轴上显示通道1电压,垂直轴上显示通道2电压)、Roll③采样率:显示系统采样率 设置触发系统TRIGGER(LEVEL、MENU、50%、FORCE) MENU中的触发模式有边沿触发、脉宽触发、斜率触发、视频触发、交替触发(稳定触发双通道不同步信号,此触发模式下,不能产生X-Y波形,且交替触发菜单中触发类型为视频触发时它的同步分为:所有行、指定行、奇数场、偶数场)。触发方式:自动、普通、单次,如在自动下无法稳定两波形,可选择单次稳定波形。触发设置:灵敏度、触发抑制:设置重新启动触发电路的时间间隔,时间范围为:500ns-1.5s、

基于FPGA数字示波器设计

摘要 高速数字化采样技术和FPGA技术的发展,已经开始对传统测试仪器,包括现有的数字化仪器发展产生着深刻的影响,对传统仪器体系结构,传统测量方法,传统仪器的定义和分类等都将产生深刻的变革。 近几年来,数字仪器通常采用DSP或FPGA结构,从信息处理技术的发展上看,以FPGA为基础的软件硬件化是其重要的发展方向,本文设计的基于FPGA的数字示波器,是由单片机和FPGA相结合的方式组成,即用单片机完成人机界面,系统调控,用FPGA完成数据采集,数据处理等功能。由通道输入调整,数据采集,数据处理,波形显示和操作界面等功能模块组成,系统中的数据采集及数据处理模块,采用了FPGA 内制的RAM IP核,使系统的工作频率基本不受外围器件影响。设计中采用了自顶向下的方法,将系统按逻辑功能划分模块,各模块使用VHDL语言进行设计,在ISE中完成软件的设计和仿真 关键词:FPGA 数字示波器数字采样 Abstract High-speed digital sampling and FPGA technology has begun to influnence the development of traditional test equipment, including existing digital instruments , the architecture of traditional instruments, traditional measurement methods, definition and classification of traditional instruments and so will produce profound changes. In recent years, independent instrument is made up of DSP or FPGA structure, from the point of information processing technology development, to FPGA based hardware of software is an important direction of development, the paper design FPGA-based digital oscilloscope, which combines a single chip and FPGA , namely, with a microcontroller for interface and system control, with the FPGA for data acquisition, data processing and other functions. It is made up of adjustable channel input, data acquisition, data processing,

(整理)数字存储示波器的原理及使用

数字存储示波器的原理及使用 示波器是一种用途十分广泛的电子测量仪器。它能把肉眼看不见的电信号变换成看得见的图象,便于人们研究各种电现象的变化过程。目前大量使用的示波器有两种:模拟示波器和数字示波器。模拟示波器发展较早,技术也非常成熟,其优点主要是带宽宽、成本低。但是随着数字技术的飞速发展,数字示波器拥有了许多模拟示波器不具备的优点:不仅具有可存储波形、体积小、功耗低,使用方便等优点,而且还具有强大的信号实时处理分析功能;具有输入输出功能,可以与计算机或其他外设相连实现更复杂的数据运算或分析。随着相关技术的进一步发展,数字示波器的频率范围也越来越高了,其使用范围将更为广泛因此,学习数字示波器的使用具有重要的意义。 实验目的 1. 了解数字示波器的工作原理; 2. 掌握数字示波器的使用方法; 3. 会用数字示波器测量未知信号的参数。 实验原理 数字存储示波器与模拟示波器不同在于信号进入示波器后立刻通过高速A/D转换器将模拟信号前端快速采样,存储其数字化信号。并利用数字信号处理技术对所存储的数据进行实时快速处理,得到信号的波形及其参数,并由示波器显示,从而实现模拟示波器功能。而且测量精度高,还可以存储和调用显示特定时刻信号。 一个典型的数字存储示波器原理框图如图1所示,模拟输入信号先适当地放大或衰减,然后再进行数字化处理。数字化包括“取样”和“量化”两个过程,取样是获得模拟输入信号的离散值,而量化则是使每个取样的离散值经A/D转换成二进制数字,最后,数字化的信号在逻辑控制电路的控制下依次写入到RAM(存储器)中,CPU从存储器中依次把数字信号读出并在显示屏上显示相应的信号波形。GPIB为通用接口总线系统,通过它可以程控数字存储示波器的工作状态,并且使内部存储器和外部存储器交换数据成为可能。 由此可见,数字示波器必须要完成波形的取样、存储和波形的显示,另外为了满足一般应用的需求,几乎所有微机化的数字示波器都提供了波形的测量与处理功能。 1. 波形的取样和存储 由于数字系统只能处理离散信号,所以必须对模拟连续波形先进行抽样,再进行A/D 转换。根据Nyquist定理,只有抽样频率大于要处理信号频率的两倍时,才能在显示端理想地复现该信号。 由此可见,数字示波器必须要完成波形的取样、存储和波形的显示,另外为了满足一般应用的需求,几乎所有微机化的数字示波器都提供了波形的测量与处理功能。

相关主题
文本预览
相关文档 最新文档