当前位置:文档之家› PCB设计总结

PCB设计总结

PCB设计总结
PCB设计总结

PCB设计总结

一、概述

PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI,电源设计,加工工艺方面等等。

二、布局

1材料

PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。

2合理的层数安排

一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。

3电源层和地层

3.1、电源层和地层的作用和区别

电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。

3.2、电源层,信号层,地层位置

A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整)

B、所有信号层都有参考平面。

C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。

D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案

四层版

方案1示意图:

TOP

GND

VCC

BOT

在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。

其它一些方案参考paul wang发的一份emc规范。

XIO_16的分层结构,本板具有很多对ESSI差分对和4对2.5G差分对,本板需要3种主电源,3.3V和1.0V电源是交错在一起的,无法进行分割,考虑到1.2V电源电流比较大,同时为了信号质量比较好,本板采用了3个完整的电源平面。连接插座和5336的差分对需要两个布线层完成,信号质量最好的是midlayer1,其次是midlayer4,我们将ESSI线放到了这两层。Line侧的2.5G线放在了midlayer1层,这样过孔的支线比较短。和接插件相连的2.5G线,由于层数的限制,放到了midlayer2层,与相邻层没有叠层的区域内。相对来说,这对线的质量要稍微差一点,但是两个参考平面都是完整的,所以质量应该也是有保证的。

4网表的调入

正确无误的网表调入,是一个好的PCB设计的开始。要做到正确的网表调入,要做到以下几点:

1)保证只有一个PCB库,这样可以保证调用的库是准确的。

2)第一次调入网表会耗费很多时间,因为系统有一个比较pcb网表和原理图网表的过程,

所以第一次调入的时候,即使有问题,也执行调入操作,这样可以节约一些时间。

3)以后再调入更新的网表,一定要确定update footprint和delete components not in两个选

项,保证调入的数据和网表一致,有错误的时候修改原理图,直到没有错误为止。

5规则设置

将不同的网络分配到不同的net class,根据需要设置线宽,线间距等等各项规则。

6布局

合理的布局可以让PCB板具有良好的稳定性,同时可以让layout更加容易完成。

如何进行布局,也是要基于多方面考虑到,主要包括信号走向,热分析要求,电气要求等等。

6.1、模块化布局

6.1.1、按照功能模块划分

一块电路板的组成,会有很多种不同的功能模块,比如线路接口模块,驱动模块,CPU模块等等,一般一个模块都会有它自己的一些相关电路,将这些相关电路的器件放在一起,可以让布线更短,更容易,减少各个模块的相互干扰。

6.1.2、按照工作频率划分

按照不同高低的频率进行划分,减少不同频率的干扰。(在高速,高密度的pcb设计中,这点比较难以实现)

6.1.3、按照信号分类

按照信号分可以分为模拟信号和数字信号。模拟信号比较容易受到数字信号的干扰,应该将模拟信号和数字信号放在不同的区域,电源和地平面应该将数字电源地和模拟电源地分离,在一点用粗线相连。

6.1.4、综合布局

主要按照一个信号的流向,模块的分布,结构要求,热分析要求布局,兼顾美观性。

6.2、特殊器件布局

6.2.1、电源部分布局

开关电源是EMI产生的一个重要源头,单板供电线路越长,产生的干扰越严重,所以电源部分应当布在电源进来的地方,并且与板上的逻辑电源地进行区域隔离。

6.2.2、时钟部分

时钟是板上最大的干扰源,时钟的放置应该远离输入输出模块(包括输入输出线),远离前面板,尽量靠近它驱动的负载。

6.2.3、电感线圈

电感线圈是最容易受EMI干扰的器件,要离EMI源头尽量远,线圈下PCB不能有高速线和敏感线。

6.2.4、总线驱动器

总线驱动器也是一个强大EMI源头,要远离前面板,靠近被驱动端。

6.2.5、滤波电容

滤波电容要就近安放在被滤波的电源脚附近,越近越好,尤其是滤除高频噪声的电容。储能电容要均匀分布。去静电电容我们目前我们使用的是0.1uf和22pf的组合,成对的跨接在导轨和逻辑地之间。

6.2.6、匹配电阻

端接匹配电阻要就近放在匹配的源端(指的是有源端匹配要求的情况下)。

6.2.7、bead的安放

Bead 安放在逻辑地和保护地(CGND)的分割槽上。

6.2.8、变压器

变压器安放在逻辑地和保护地(CGND)的分割槽上,变压器底部没有任何信号线和电源地,可以更好隔离外界噪声和内部电路。

7、布线

7.1、线层的安排

对于布线时,哪些信号线安排在哪些层,在进行布线前,应该有个基本的安排,线层的安排,主要基于以下几个方面的考虑:

1)重要的信号线要安排在有完整的参考平面的层,参考平面最好是GND层,另一相邻的

平面不会让这些信号有跨分割区的问题存在。对于特别重要的信号线,要求除了引脚上的过孔外,不添加其他过孔的情况下能够完成布线。

2)相邻层走线为正交关系。

3)低速线,可以安排在表层

7.2、线间距

合理的线间距可以减少信号之间的串扰。考虑线间距,既要考虑信号之间的相互干扰,也要考虑在一定的间距下布线能不能完成,我们对线间距一般有如下几点要求:

1)普通信号线两倍线间距,对于表层和底层信号,由于有时候基于阻抗考虑,会较内层粗

很多,在从芯片引脚引出的较短的一段线,可以不受此要求的约束。

2)时钟信号3倍线间距,如果时钟频率很高,需要尽可能地再增大间距。

3)622M ESSI线,40mil间距以上。

4)48V电源(包括12V)与逻辑信号,逻辑电源之间间距27mil,48V电源之间15mil,

逻辑信号,逻辑电地和CGND之间间距27mil。

7.3、导轨处理

1)板卡两边需要两条导轨与机框相连,板边沿侧铜箔据板边沿25mil,另一侧距板边沿

3mm。

2)导轨底下所有电地层挖空,并且地比导轨多挖27mil,电源比地多挖27mil。

3)导轨上每隔2mm放一个小过孔(18/10mil)。需要做开窗处理。

4)导轨两面都需做开窗处理

7.4、板边沿内电层处理

板边沿的内电层需要往里面挖一些,地往里面挖20mil,电源往里面挖40mil。

7.5、拼板

拼板需要在单板的副本里面做,这样拼板能够继承所有单板的属性。拼板拷贝的时候一定要打开所有层,并选择所有层。所有split和polygon都不进行rebuild。

8、后期检查

后期检查是确保pcb设计没有问题的最后一个保障了,没有一个规范的话,检查总会出现纰漏。

8.1、单板检查

1)DRC检测:包括un-routed net检查、short circuit检查、最近距离检查、对于

broken net,一般除了CGND,其它网络都要相连,具体情况可以和原理图进行核对。对于short circuit,由于BGA有些不用的孔为了跳线方便,也打出来了,会形成短路告警,最好一一核对。

2)网表校验:要求所有网络和原理图生成的网表一一对应,有不同的地方需要

和原理图进行核对,确定不同的地方是不是错误。

3)图号核对:图号核对部分包括对图号,版本号,板名,防静电标志,ECI标

志(现在要求不能存在该标志),条码框。

4)Mark点核对:包括板子三个对角的mark点,1mm及1mm以下pitch的BGA

对角mark点核对。

5)Tenting核对:我们的板子是要求进行盖绿油加工的,需要对盖绿油的孔进行

tenting操作,核对方法是关掉所有层,只打开multi layer,top solder layer和bottom solder layer,然后仔细校对,如果有发现没有tenting的孔,打开所有层确认是否需要tenting。注意:导轨上的孔是不做tenting的。

6)层标识核对,核对层标识是否和叠层顺序一致。

7)泪滴核对:核对是否有做过泪滴。

8)核对导轨是否已经加上solder层了,表层和底层都需要。

9)检查是否该做花盘的地方已经按照要求做了花盘了。

8.2、拼板检查

题外话:做拼板请在单板的副本上做,这样不会丢失层。拷贝单板的时候要打开所有层,Polygon和split都不进行rebuild,以保持和单板完全一致。

1)DRC检测:只进行un-routed net检测,正常情况是有几个拼板,就会分成几

个不相连的网络

2)图号核对:确定拼板过程中没有丢失图号信息。

3)Mark点核对,确定拼板过程中没有丢失mark点。

4)导轨solder层核对,确定没有丢失导轨上的solder层。

5)核对不必要的定位孔、丝印层上的辅助线(建议用新增的机械层做辅助线)

和机械层上会割断板子的线是否已经删除。

6)检查花盘是否被更改。

8.3、加工数据检查

1)核对是否所有必要的层都已经生成数据了,粗略看看生成的数据是不是和

PCB最后版本一致

2)钻孔层和Gerber层是否良好重叠。

3)核对不必要的定位孔和辅助线是否已经删除。

4)核对导轨上的solder层是否存在。

5)核对图号信息,版本号信息是否正确。

6)检查花盘是否被更改。

高速PCB设计必知的几个基本概念和技术

要点

来源:龙人计算机研究所作者:站长时间:2009-10-12 15:32:18

高速PCB设计必知的几个基本概念和技术要点

高速PCB设计是一个相对复杂的过程,由于高速PCB设计中需要充分考虑信号、阻抗、传输线等众多技术要素,常常成为PCB设计初学者的一大难点,本文提供的几个关于高速PCB设计的基本概念及技术要点将为初学者提供一些技术参考。

1、什么是高速电路

通常认为如果数字逻辑电路的频率达到或者超过45MHZ~50MHZ,而且工作在这个频率之上的电路已经占到了整个电子系统一定的份量(比如说1/3),就称为高速电路。

实际上,信号边沿的谐波频率比信号本身的频率高,是信号快速变化的上升沿与下降沿(或称信号的跳变)引发了信号传输的非预期结果。因此,通常约定如果线传播延时大于1/2数字信号驱动端的上升时间,则认为此类信号是高速信号并产生传输线效应。

信号的传递发生在信号状态改变的瞬间,如上升或下降时间。信号从驱动端到接收端经过一段固定的时间,如果传输时间小于1/2的上升或下降时间,那么来自接收端的反射信号将在信号改

变状态之前到达驱动端。反之,反射信号将在信号改变状态之后到达驱动端。如果反射信号很强,叠加的波形就有可能会改变逻辑状态。

2、高速信号的确定

上面我们定义了传输线效应发生的前提条件,但是如何得知线延时是否大于1/2驱动端的信号上升时间?一般地,信号上升时间的典型值可通过器件手册给出,而信号的传播时间在PCB设计中由实际布线长度决定。下图为信号上升时间和允许的布线长度(延时)的对应关系。

PCB 板上每单位英寸的延时为0.167ns.。但是,如果过孔多,器件管脚多,网线上设置的约束多,延时将增大。通常高速逻辑器件的信号上升时间大约为0.2ns。如果板上有GaAs

芯片,则最大布线长度为7.62mm。

设Tr 为信号上升时间,Tpd 为信号线传播延时。如果Tr≥4Tpd,信号落在安全区域。如果2Tpd≥Tr≥4Tpd,信号落在不确定区域。如果Tr≤2Tpd,信号落在问题区域。对于落在不确定区域及问题区域的信号,应该使用高速布线方法。

3、什么是传输线

PCB板上的走线可等效为下图所示的串联和并联的电容、电阻和电感结构。串联电阻的典型值0.25-0.55 ohms/foot,因为绝缘层的缘故,并联电阻阻值通常很高。将寄生电阻、电容和电感加到实际的PCB连线中之后,连线上的最终阻抗称为特征阻抗Zo。线径越宽,距电源/地越近,或隔离层的介电常数越高,特征阻抗就越小。如果传输线和接收端的阻抗不匹配,那么输出的电流信号和信号最终的稳定状态将不同,这就引起信号在接收端产生反射,这个反射信号将传回信号发射端并再次反射回来。随着能量的减弱反射信号的幅度将减小,直到信号的电压和电流达到稳定。这种效应被称为振荡,信号的振荡在信号的上升沿和下降沿经常可以看到。

4、传输线效应

基于上述定义的传输线模型,归纳起来,传输线会对整个电路设计带来以下效应。

·反射信号Reflected signals

·延时和时序错误Delay & Timing errors

·多次跨越逻辑电平门限错误False Switching

·过冲与下冲Overshoot/Undershoot

·串扰Induced Noise (or crosstalk)

·电磁辐射EMI radiation

(1)反射信号

如果一根走线没有被正确终结(终端匹配),那么来自于驱动端的信号脉冲在接收端被反射,从而引发不预期效应,使信号轮廓失真。当失真变形非常显着时可导致多种错误,引起设计失败。同时,失真变形的信号对噪声的敏感性增加了,也会引起设计失败。如果上述情况没有被足够考虑,EMI将显着增加,这就不单单影响自身设计结果,还会造成整个系统的失败。

反射信号产生的主要原因:过长的走线;未被匹配终结的传输线,过量电容或电感以及阻抗失配。

(2)延时和时序错误

信号延时和时序错误表现为:信号在逻辑电平的高与低门限之间变化时保持一段时间信号不跳变。过多的信号延时可能导致时序错误和器件功能的混乱。

通常在有多个接收端时会出现问题。电路设计师必须确定最坏情况下的时间延时以确保设计的正确性。信号延时产生的原因:驱动过载,走线过长。

(3)多次跨越逻辑电平门限错误

信号在跳变的过程中可能多次跨越逻辑电平门限从而导致这一类型的错误。多次跨越逻辑电

平门限错误是信号振荡的一种特殊的形式,即信号的振荡发生在逻辑电平门限附近,多次跨越逻辑电平门限会导致逻辑功能紊乱。反射信号产生的原因:过长的走线,未被终结的传输线,过量电容或电感以及阻抗失配。

(4)过冲与下冲

过冲与下冲来源于走线过长或者信号变化太快两方面的原因。虽然大多数元件接收端有输入保护二极管保护,但有时这些过冲电平会远远超过元件电源电压范围,损坏元器件。

(5)串扰

串扰表现为在一根信号线上有信号通过时,在PCB板上与之相邻的信号线上就会感应出相关的信号,我们称之为串扰。

信号线距离地线越近,线间距越大,产生的串扰信号越小。异步信号和时钟信号更容易产生串扰。因此解串扰的方法是移开发生串扰的信号或屏蔽被严重干扰的信号。

(6)电磁辐射

EMI(Electro-Magnetic Interference)即电磁干扰,产生的问题包含过量的电磁辐射及对电磁辐射的敏感性两方面。EMI表现为当数字系统加电运行时,会对周围环境辐射电磁波,从而干扰周围环境中电子设备的正常工作。它产生的主要原因是电路工作频率太高以及布局布线不合理。目前已有进行EMI仿真的软件工具,但EMI仿真器都很昂贵,仿真参数和边界条件设置又很困难,这将直接影响仿真结果的准确性和实用性。最通常的做法是将控制EMI的各项设计规则应用在设计的每一环节,实现在设计各环节上的规则驱动和控制。

5、避免传输线效应的方法

针对上述传输线问题所引入的影响,我们从以下几方面谈谈控制这些影响的方法。

(1)严格控制关键网线的走线长度

如果设计中有高速跳变的边沿,就必须考虑到在PCB板上存在传输线效应的问题。现在普遍使用的很高时钟频率的快速集成电路芯片更是存在这样的问题。解决这个问题有一些基本原则:如果采用CMOS或TTL电路进行设计,工作频率小于10MHz,布线长度应不大于7英寸。工作频率在50MHz布线长度应不大于1.5英寸。如果工作频率达到或超过75MHz布线长度应在1英寸。对于GaAs芯片最大的布线长度应为0.3英寸。如果超过这个标准,就存在传输线的问题。

(2)合理规划走线的拓扑结构

解决传输线效应的另一个方法是选择正确的布线路径和终端拓扑结构。走线的拓扑结构是指一根网线的布线顺序及布线结构。当使用高速逻辑器件时,除非走线分支长度保持很短,否则边沿快速变化的信号将被信号主干走线上的分支走线所扭曲。通常情形下,PCB走线采用两种基本拓扑结构,即菊花链(Daisy Chain)布线和星形(Star)分布。

对于菊花链布线,布线从驱动端开始,依次到达各接收端。如果使用串联电阻来改变信号特性,串联电阻的位置应该紧靠驱动端。在控制走线的高次谐波干扰方面,菊花链走线效果最好。但这种走线方式布通率最低,不容易100%布通。实际设计中,我们是使菊花链布线中分支长度尽可能短,安全的长度值应该是:Stub Delay <= Trt *0.1.

例如,高速TTL电路中的分支端长度应小于1.5英寸。这种拓扑结构占用的布线空间较小并可用单一电阻匹配终结。但是这种走线结构使得在不同的信号接收端信号的接收是不同步的。

星形拓扑结构可以有效的避免时钟信号的不同步问题,但在密度很高的PCB板上手工完成布线十分困难。采用自动布线器是完成星型布线的最好的方法。每条分支上都需要终端电阻。终端电阻的阻值应和连线的特征阻抗相匹配。这可通过手工计算,也可通过CAD工具计算出特征阻抗值和终端匹配电阻值。

在上面的两个例子中使用了简单的终端电阻,实际中可选择使用更复杂的匹配终端。第一种选择是RC匹配终端。RC匹配终端可以减少功率消耗,但只能使用于信号工作比较稳定的情况。这种方式最适合于对时钟线信号进行匹配处理。其缺点是RC匹配终端中的电容可能影响信号的形状和传播速度。

串联电阻匹配终端不会产生额外的功率消耗,但会减慢信号的传输。这种方式用于时间延迟影响不大的总线驱动电路。串联电阻匹配终端的优势还在于可以减少板上器件的使用数量和连线密度。

最后一种方式为分离匹配终端,这种方式匹配元件需要放置在接收端附近。其优点是不会拉低信号,并且可以很好的避免噪声。典型的用于TTL输入信号(ACT, HCT, FAST)。

此外,对于终端匹配电阻的封装型式和安装型式也必须考虑。通常SMD表面贴装电阻比通孔元件具有较低的电感,所以SMD封装元件成为首选。如果选择普通直插电阻也有两种安装方式可选:垂直方式和水平方式。

垂直安装方式中电阻的一条安装管脚很短,可以减少电阻和电路板间的热阻,使电阻的热量更加容易散发到空气中。但较长的垂直安装会增加电阻的电感。水平安装方式因安装较低有更低的电感。但过热的电阻会出现漂移,在最坏的情况下电阻成为开路,造成PCB走线终结匹配失效,成为潜在的失败因素。

(3)抑止电磁干扰的方法

很好地解决信号完整性问题将改善PCB板的电磁兼容性(EMC)。其中非常重要的是保证PCB板有很好的接地。对复杂的设计采用一个信号层配一个地线层是十分有效的方法。此外,使电路板的最外层信号的密度最小也是减少电磁辐射的好方法,这种方法可采用"表面积层"技术"Build-up"设计制做PCB来实现。表面积层通过在普通工艺PCB 上增加薄绝缘层和用于贯穿这些层的微孔的组合来实现,电阻和电容可埋在表层下,单位面积上的走线密度会增加近一倍,因而可降低PCB的体积。PCB 面积的缩小对走线的拓扑结构有巨大的影响,这意味着缩小的电流回路,缩小的分支走线长度,而电磁辐射近似正比于电流回路的面积;同时小体积特征意味着高密度引脚封装器件可以被使用,这又使得连线长度下降,从而电流回路减小,提高电磁兼容特性。

4其它可采用技术

为减小集成电路芯片电源上的电压瞬时过冲,应该为集成电路芯片添加去耦电容。这可以有效去除电源上的毛刺的影响并减少在印制板上的电源环路的辐射。

当去耦电容直接连接在集成电路的电源管腿上而不是连接在电源层上时,其平滑毛刺的效果最好。这就是为什么有一些器件插座上带有去耦电容,而有的器件要求去耦电容距器件的距离要足够的小。

任何高速和高功耗的器件应尽量放置在一起以减少电源电压瞬时过冲。

如果没有电源层,那么长的电源连线会在信号和回路间形成环路,成为辐射源和易感应电路。走线构成一个不穿过同一网线或其它走线的环路的情况称为开环。如果环路穿过同一网线其它走线则构成闭环。两种情况都会形成天线效应(线天线和环形天线)。天线对外产生EMI辐射,同时自身也是敏感电路。闭环是一个必须考虑的问题,因为它产生的辐射与闭环面积近似成正比。

pcb设计心得体会范文

pcb设计心得体会范文 一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训pcb电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用pcbediter 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,

才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变少了,而且元器件的接点的线都很少很长了,这样就方便后面的布线了。所以说,布局那是相当的重要啊,先考虑局部,然后再考虑整体。布局步好后,布线就很快了,也没有花多少时间布局,步好后,看了下,还是感觉蛮好的,再没有布电源和地线的情况下,总共打了21个孔,总之,布线的图看起还是蛮自

pcb设计心得体会范文

pcb设计心得体会范文 篇一:PCB电路板设计总结 经过五天的PCB电路板训练,通过对软件的使用,以及实际电路板的设计,对电路板有了更深的认识,知道了电路板的相关知识和实际工作原理。同时也感受到了电路板的强大能力,怪不得现在的电路都是采用集成的电路板电路,因为它实在是有太多的好处,节约空间,方便接线,能大大缩小电路的体积。方便人类小型电器的发明。但是电路板也有一定缺陷,就是太小了,散热不是特别好,这就使得器件的性能不能像想象中那么好。 通过使用,不得不说cadence软件确实很好用,功能太强大,而且也很方便使用,接线,布线,绘制电路板等,很方便使用,不过有一点就是,器件接线的时候不能直接把器件接到导线上,这点不够人性化。虽然说,软件学了五天时间,不过对软件使用还不是能完全掌握,只能掌握一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训PCB电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报

表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用PCB Editer 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变

干货-PCB设计经验总结-精

干货-PCB设计经验总结 随着新能源汽车的发展,汽车电气化越来越严重,相关的EMC问题也越来越突出,因此为了从根本上降低EMC的风险,需要从设计阶段尤其是PCB layout 入手,来防患于未然。下面是一位从业十余年的硬件工程师的经验笔记! 如觉得有帮助欢迎支持转发分享给更多需要的人! 叠层: 1.电源和地的平面尽可能近(利于电源噪声高频滤波) 2.信号层:避免两信号层相邻(如果必须相邻,加大两层间距); 3.电源层:避免两电源层相邻; 4.外层:铺地; 布线: 5.关键信号线:避免跨分割(参考平面); 6.关键信号线:“换层不换面(参考平面)”; 7.关键信号线:长度尽可能短; 8.关键信号线:位置远离PCB板边缘及接口; 9.信号线:不能跨越分割间隙布线(否则电磁辐射及信号串扰剧增);

10.信号线:换层(返回路径)必须跨分割时,须使用过孔或滤波电容(10nf); 11.总线:相同功能的并行布置,中间勿参杂其他信号; 12.接收发送信号:分开布线,勿交叉; 13.高速信号线:走线宽度勿突变; 14.电源:电源线不要形成环路(近似包裹的环路) 15.地:地线不要形成环路(近似包裹的环路); 16.地:干扰源的地勿与信号地就近共用(晶振等干扰源的地不干净); 17.地:多芯片并排共电源与地时,电源与地的主线路宜在芯片同侧(回流面积小); 18.分割:模拟地与数字地分割布线,建立“地连接桥”,如有必要进行磁珠滤波; 19.分割:电源/地平面分割需合理(否则高速信号存在EMI、EMC风险); 20.拐角走线:优选45度(降低拐角对走线阻抗影响) 21.拐角走线:长度越长越好(降低拐角对走线阻抗影响) 22.拐角走线:过孔处上下走线拐角要求同上; 23.高频干扰源:下方禁止布线(晶振、开关电源等干扰源); 24.高频干扰源:附近尽量避免布电源主路线(晶振、开关电源等干扰源); 25.接插件:下方禁止布线; 电源滤波: 26.滤波区域为原理信号区域(降低耦合); 27.高频滤波电容需靠近电源PIN脚(容值越小越近);

PCB设计总结

PCB设计总结 、概述 PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在 在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于 高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI, 电源设计,加工工艺方面等等。 、布局 1材料 PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指 标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。 2合理的层数安排 一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。 3电源层和地层 3.1、电源层和地层的作用和区别 电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。 3.2、电源层,信号层,地层位置 A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整) B、所有信号层都有参考平面。 C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。 D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案 四层版 BOT 在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。 其它一些方案参考 paul wang发的一份emc规范。

PCB-10年设计经验总结

电子产品设计经验总结之PCB设计 1. 根据线路板厂家的能力设定线路板基本参数 根据沧州一带线路板厂的水平,按下列参数设计线路板质量应能保证: *最小导线宽度:8mil; *最小导线间距:8mil; *最小过孔焊盘直径:30 mil; *最小过孔孔径:16 mil; * DRC检查最小间距:8mil; 2. 线路板布局 *固定孔和线路板外形按结构要求以公制尺寸绘制; *螺钉固定孔的焊盘要大于螺钉帽和螺母的直径,以M3的螺钉为例,其焊盘直径为6.5mm,钻孔直径为3.2mm。 *外围接插件位置要总体考虑,避免电缆错位、扭曲; *其他器件要以英制尺寸布置在最小25 mil的网格上,以利布线; *按功能把器件分成多个单元,在显示网络飞线的情况下把单元的各个器件定位; *把各个单元移到线路板的合适位置,利用块移动和旋转功能使大部分走线合理; *模拟电路与数字电路分片布置,数字部分的电流尽量不要穿越模拟区; *模拟电路按信号走向布置,大信号线不得穿越小信号区; *晶体和连接电容下方不得走其他信号线,以免振荡频率不稳; *除单列器件外只允许移动、旋转,不得翻转,否则器件只能焊于焊接面; *核对器件封装 同一型号的贴片器件有不同封装。例如SO14 塑料本体宽度有0.15英寸(3.8mm)和5.1mm的区别。 *核对器件安装位置 器件布局初步完成后,应打出1:1的器件图,核对边沿器件安装位置是否合适。 3. 布线

3.1 线宽 信号线:8~12mil; 电源线:30~100mil(A级电源线可用矩形焊盘加焊裸导线以增加通过电流量); 3.2 标准英制器件以25 mil间距走线。 3.3 公制管脚以5 mil间距走线,距离管脚不远处拐弯,尽量走到25 mil 网格上,便于以后导线调整。 3.4 8mil线宽到过孔中心间距为30mil。 3.5 大量走线方向交叉时可把贴片器件改到焊接面。 3.6 原理图连线不见得合理,可适当修改原理图,重作网络表,使走线尽量简洁、合理。 * 62256 RAM芯片的数据、地址线可不按元件图排列; * MCU 的外接IO管脚可适当调整; * 地址锁存芯片的引脚可适当变动,但要注意信号的对应关系; * CPLD和GAL的引脚可适当调整。 3.7在用贴片管脚较多的器件时,布线不一定坚持横竖各在一面的原则,应以走线简洁、合理为准。 3.8 预留电源和地线走线空间。 3.9 电源线换面时最好在器件管脚处,过孔的电阻较大。 3.10 不应连接的器件有飞线,可能是原理图网络标号相同所致,应修改原理图。 4. 线间距压缩 在引线密度较高,差几根线布放困难时可采取以下办法: * 8mil线宽线间距由25 mil改为20 mil; *过孔较多时可把经过孔的相反方向的走线调整到一排; *经过孔的走线弯曲,压缩线间距; * 5. DRC检查 DRC检查的间距一般为10 mil,如布线困难也可设为8 mil。 布地网前应作一次DRC检查,即除GND没布线外不得有其他问题。如发现问题也容易处理。 6. 佈地网(铺铜) 佈地网首先能减小地线电阻,即减小由地线电阻(电感)形成的电压降,使电路工作稳定。另外也可减少对外辐射,增强电磁兼容性。早期采用网格,近来很多采用连在一起的铜箔。 佈地网用DXP软件较好,即缺画导线较少。

PCB设计问题(个人总结)知识分享

1.工作空间是一个比较大的概念,(先创建一个工作空间,再在这个空间内创建一个工程)——创建一个工程,就自动进入了一个工件空间里,在一个空间里可以有多个工程。 2.原理图向PCB转化的过程中,会出现一些问题:1>某些元器件没有对应的封装(元件管理器,封装管理器)。要将元器件的封装添加到对应项目的库中来。 3.端口与网络标号的概念是不区别的,网络标号是引脚上的相连,而端口的概念就是指输入输出的端口,与外部的接口! 4.对于过孔的类型,应该对电源/接地线与信号线区别对待。一般将电源/接地线过孔的参数设置为:孔径20mil,宽度50mil。一般信号类型的过孔则为:孔径20mil,宽度40mil。 5.安全间距的设置:对同一个层面中的两个图元之间的元件之间的允许的最小的间距,默认情况下可设置为10mil. 6.对于双面板而言,可将顶层布线设置为沿垂直方向,将底层布线设置为沿水平方向。 7.对走线宽度的要求,根据电路抗干扰性和实际的电流的大小,将电源和接地线宽确定为20mil, 其它走线宽度10mil. 8.层的管理: 在Atilum中共可进行74个板层的设计,从物理上可将板层分为6类,即信号层、内部电源层、丝印层、保护层、机械层和其他层。另外还有一个系统的颜色层,但它在物理上并不存在。 ①信号层:在信号层中,有一个Top Layer层,一个Bottom Layer层和30个Mid-Layer,其中各层的作用如下所述: Top Layer:元器件面的信号层,可用来放置元器件和布线。(红色线) Bottom Layer:焊接面信号层,可用来放置元器件和布线。(绿色线) Mid-Layer:中间信号层,共30层,(Mid-Layer1--Mid-Layer30),主要用于布置信号线。 内部电源线:系统共提供了16个内部电源层,(Internal Plane 1--Internal Plane 16).内部电源层又称为电气层,主要用于布置电源线和地线。 ②机械层:系统共提供16个机械层(Mechanical 1--Mechanical 16),主要用于放置电路板的边框和标注尺寸,一般情况下只需要一个机械层。(紫色线) ③掩膜层:掩膜层也叫保护层,共提供4个,分别为2个Paste Layer(锡膏防护层)和2个Solder Layer(阻焊层)。其中锡膏防护层用于在焊盘和过孔的周围设置保护区;而阻焊层则用于为光绘和丝印层屏蔽工艺提供与表面有贴装器件的印制电路板之间的焊接粘贴。当表面无粘贴器件时不需要使用该层。 ④丝印层:丝印层(Overlay Layer)共有两层,分别为TOP Overlay和Bottom Overlay。主要用于绘制元器件的外形轮廓、字符串标注等文字和图形说明。(黄色线) ⑤其他层:Drill Guide 用于绘制钻孔导引层。Keep-out Layer 用于定义能有效放置元件和布线的区域。Drill Drawing 用于选择绘制钻孔图层。Multi-Layer 设置是否显示复合层。 尽管在Altium中提供了多达74层的工作层面,但在设计过程中经常用到的只有顶层、底层、丝印层和禁止布线层等少数几个。 9.一般板子的层数指的是板子所含的信号层和电源层的总个数。 10.规划PCB板(三条框):定义板子的外形尺寸(design-Board shape),定义在机械层;定义板子的物理边界(用画线工具)也是定义在机械层;设定电气边界,用画线工具(Keep-out 层中完成的)。 11.敷铜,喷漆,阻焊层,锡膏防护层。Paste Layer到底是什么意思,焊接层?锡膏防护层?(作用在焊盘和过孔周围设置保护区) Paste层:表面意思是指焊膏层,就是说可以用它来制作印刷锡膏的钢网,这一层只需露出所有需要贴片焊接的焊盘,并且开孔可能会比实际焊盘小。这一层资料不需要提供给

PCB设计总结讲解

PCB设计总结 一. PCB板框设计 1. 物理板框的设计一定要注意尺寸精确,避免安装出现麻烦,确保能够将电路板顺利安装进机箱,外壳,插槽等。 2. 拐角的地方(例如矩形板的四个角)最好使用圆角。一方面避免直角,尖角刮伤人,另一方面圆角可以减轻应力作用,减少PCB 板因各种原因出现断裂的情况。 3. 在布局前应确定好各种安装孔(例如螺丝孔)及各种开口,开槽。一般来说,孔与PCB板边缘的距离至少大于孔的直径。 4. 当电路板的面积大于200 x 150 mm时,应重视该板所受的机械强度。从美学角度来看,电路板的最佳形状为矩形。宽和长之比最好是黄金比值0.618(黄金比值的应用也是很广的)。实际应用时可取宽和长为2:3或3:4等。 5. 结合产品设计要求(尤其是批量生产),综合考虑PCB板的尺寸大小。尺寸过大,印刷铜线过长,阻抗增加,抗噪声能力下降;尺寸过小,散热不好,线距不好控制,相邻导线容易干扰。 6. 一般来说,板框的规划是在KeepOutLayer层进行。 二.PCB板布局设计 元件布置是否合理对整板的寿命,稳定性,易用性及布线都有很大的影响,是设计出优秀PCB板的前提。不同的板的布局各有其要求和特点,但当中不乏一些通用的规则,技巧。。

1. 元件的放置顺序 ①一般来说,首先放置与整板的结构紧密相关的且固定位置的元件。比如常见的电源插座,开关,指示灯,各种有特殊位置要求的接口(连接件之类),继电器等,并且不要与PCB板中的开孔,开槽相冲突,位置要正确。放置好后,最好用软件的锁定功能将其固定。 ②接着放置体积大的元件和核心元件以及一些特殊的元件。例如变压器等大元件,集成电路,处理器等核心IC元件,发热元件等。这些元件会随着布线的考虑有所移动,因此是大致的放置,更不用锁定。 ③最后放置小元件。例如阻容元件,辅助小IC等。 2. 注意点 ①原则上所有元件都应该放置在距离板边缘3mm以上的地方。尤其在大批量生产时的流水线插件和波峰焊,此举是要提供给导轨槽使用的,同时可以防止外形切割加工时引起边缘部分缺损。 ②要重视散热问题。 对于一些大功率的电路,应该将其发热严重的元件(如功率管,高功率变压器等)尽量分布在板的边缘,便于热量散发,不要过于集中在一个地方。总之要适当,尤其在一些精密的模拟系统中,发热器件产生的温度场对一些放大电路的影响是严重的。除了保证有足够的散热措施外,一些功率超大的部分建议做成一个单独的模块,并作好

PCB设计原则总结

PCB设计的一些原则及Protel DXP的一些操作总结 用PROTEL 电路板设计的一般原则 电路板设计的一般原则包括:电路板的选用、电路板尺寸、元件布局、布线、焊盘、填充、跨接线等。 电路板一般用敷铜层压板制成,板层选用时要从电气性能、可靠性、加工工艺要求和经济指标等方面考虑。常用的敷铜层压板是敷铜酚醛纸质层压板、敷铜环氧纸质层压板、敷铜环氧玻璃布层压板、敷铜环氧酚醛玻璃布层压板、敷铜聚四氟乙烯玻璃布层压板和多层印刷电路板用环氧玻璃布等。不同材料的层压板有不同的特点。环氧树脂与铜箔有极好的粘合力,因此铜箔的附着强度和工作温度较高,可以在260℃的熔锡中不起泡。环氧树脂浸过的玻璃布层压板受潮气的影响较小。超高频电路板最好是敷铜聚四氟乙烯玻璃布层压板。 在要求阻燃的电子设备上,还需要阻燃的电路板,这些电路板都是浸入了阻燃树脂的层压板。电路板的厚度应该根据电路板的功能、所装元件的重量、电路板插座的规格、电路板的外形尺寸和承受的机械负荷等来决定。 主要是应该保证足够的刚度和强度。 常见的电路板的厚度有0.5mm、1.0mm、1.5mm、2.0mm 从成本、铜膜线长度、抗噪声能力考虑,电路板尺寸越小越好,但是板尺寸太小,则散热不良,且相邻的导线容易引起干扰。电路板的制作费用是和电路板的面积相关的,面积越大,造价越高。在设计具有机壳的电路板时,电路板的尺寸还受机箱外壳大小的限制,一定要在确定电路板尺寸前确定机壳大小,否则就无法确定电路板的尺寸。一般情况下,在禁止布线层中指定的布线范围就是电路板尺寸的大小。电路板的最佳形状是矩形,长宽比为3:2 或4:3,当电路板的尺寸大于200mm×150mm 时,应该考虑电路板的机械强度。总之,应该综合考虑利弊来确定电路板的尺寸。 虽然Protel DXP 能够自动布局,但是实际上电路板的布局几乎都是手工完成的。要进行布局时,一般遵循如下规则: 1.特殊元件的布局特殊元件的布局从以下几个方面考虑: 1)高频元件:高频元件之间的连线越短越好,设法减小连线的分布参数和相互之间的电磁干扰,易受干扰的元件不能离得太近。隶属于输入和隶属于输出的元件之间的距离应该尽可能大一些。 2)具有高电位差的元件:应该加大具有高电位差元件和连线之间的距离,以免出现意外短路时损坏元件。为了避免爬电现象的发生,一般要求2000V 电位差之间的铜膜线距离应该大于2mm,若对于更高的电位差,距离还应该加大。带有高电压的器件,应该尽量布置在调试时手不易触及的地方。

PCB设计经验总结大全

1.1PCB设计经验总结 布局: 总体思想:在符合产品电气以及机械结构要求的基础上考虑整体美观,在一个PCB板上,元件的布局要求要均衡,疏密有序。 1.印制板尺寸必须与加工图纸尺寸相符,符合PCB制造工艺要求,放置MARK点。 2.元件在二维、三维空间上有无冲突? 3.元件布局是否疏密有序,排列整齐?是否全部布完? 4.需经常更换的元件能否方便的更换?插件板插入设备是否方便? 5.热敏元件与发热元件之间是否有适当的距离? 6.调整可调元件是否方便? 7.在需要散热的地方,装了散热器没有?空气流是否通畅? 8.信号流程是否顺畅且互连最短? 9.插头、插座等与机械设计是否矛盾? 10.蜂鸣器远离柱形电感,避免干扰声音失真。 11.速度较快的器件如SRAM要尽量的离CPU近。 12.由相同电源供电的器件尽量放在一起。 布线: 1.走线要有合理的走向:如输入/输出,交流/直流,强/弱信号,高频/低频,高压/低压等...,它们的走向应该是呈线形的(或分离),不得相互交融。其目的是防止相互干扰。最好的走向是按直线,但一般不易实现,避免环形走线。对于是直流,小信号,低电压PCB

设计的要求可以低些。输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 2.选择好接地点:一般情况下要求共点地,数字地与模拟地在电源输入电容处相连。 3.合理布置电源滤波/退耦电容:布置这些电容就应尽量靠近这些元部件,离得太远就没有作用了。在贴片器件的退耦电容最好在布在板子另一面的器件肚子位置,电源和地要先过电容,再进芯片。 4.线条有讲究:有条件做宽的线决不做细;高压及高频线应园滑,不得有尖锐的倒角,拐弯也不得采用直角,一般采用135度角。地线应尽量宽,最好使用大面积敷铜,这对接地点问题有相当大的改善。设计中应尽量减少过线孔,减少并行的线条密度。 5.尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线。 6.数字电路与模拟电路的共地处理,现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接。 7.信号线布在电(地)层上在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量,成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是保留地层的完整性。 8.关键信号的处理,关键信号如时钟线应该进行包地处理,避免产生干扰,同时在晶振器件边做一个焊点使晶振外壳接地。

pcb设计总结

PCB设计总结 一、概述 PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI,电源设计,加工工艺方面等等。 二、布局 1材料 PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。 2合理的层数安排 一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。 3电源层和地层 3.1、电源层和地层的作用和区别 电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。 3.2、电源层,信号层,地层位置 A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整) B、所有信号层都有参考平面。 C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。 D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案 四层版 方案1示意图: TOP GND VCC BOT 在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。 其它一些方案参考paul wang发的一份emc规范。

pcb设计心得体会

pcb设计心得体会 篇一:制作PCB的心得体会 天水师范学院 ——PCB实验设计心得 学院:物理与信息科学学院专业:电子信息科学与技术 班级:11电信(2)班 姓名:赵鹏举 学号:XX1060241 制作PCB的心得体会 学习了一学期的PCB制版,我有很多的心得体会,在整个制版过程中,可以在Altium 之下进行,也可以在DXP XX 下进行,但两者之间要关联的文件,可在打工软件后,在菜单栏DXP---属性preferences---system—file type将文件类型与该软件进行关联,以后就可双击文件而利用这个Altium Designer 打开那个文件。常用的要关联的文件有工程文件project, 原理图文件sch,当然还有PCB文件。 先新建原理图(sch图),再新建PCB图。还要建个和。用来画库里找不到的元件,用来为该元件创建封装(先用游

标卡尺量好尺寸),再将这个封装给了里新建的元件,这样就可以了。若要新建第二个元件,则TOOL-New Component,然后画矩形,放管脚。放管脚Pin时,Display name 要在矩形框内部,风络标识Designator 要在矩形框外部。还有在里画元件封装时一定要注意,将封装画在坐标的(0,0)点,否则将原理图导入PCB后,拖动元件时,会产生鼠标指针跑到别的地方去的现象。原理图上的连线,可以用线直接连,也可以用net网络标识。在建好原理图之后,要先导出所需元件的清单(reports---Bill of materials),里面的模板Template要空着,file format先.xls,然后点Export 就可以保存了。建好原理图后,要进行编译,Project---compile schdoc.,若没弹出message窗口,则需手动去右 下角system,,打开messages对话框,查看文件中的错误,对警告warnings 要进行检查,然后再导入PCB中。Design---updata PCB Document(第一个),就可将原理图导入到PCB中。 一次性修改多个元件的某项属性,可以按shift一个一个的选,也可以选中一个后右键,find similar objects ,然后在PCB Inspector中进行统一修改即可。如果要改变放置的过孔的大小,则步骤为:Tool—属性Preference—PCB

PCB设计---PCIE设计总结

PCIE的PCB设计总结 封装: 常见的PCIE连接器有X1、X4、X8、X16,其中数字代表的是有多少条lane,例如X1,表示1条lane,即1对接收差分信号和1对发送差分信号。不同连接器的管脚数量不同,如下图,为X8连接器。通过管脚号,可以判断是哪种slot,其中: PCIeX1(A18B18)---1条lane;PCIeX4(A32B32)---4条lane; PCIeX8(A49B49)---8条lane;PCIeX16(A82B82)---16条lane; PCIE速率: PCIE信号属于高速数字信号,版本越高,速率越高,目前的服务器和主板上比较常见的是PCIE3.0。PCIE速率见下表; PCI Express Introduced Line Transfer Throughput[i] version code rate[i] ×1 ×2 ×4 ×8 ×16 1 2003 8b/10b 2.5 GT/s 250 MB/s 0.50 GB/s 1.0 GB/s 2.0 GB/s 4.0 GB/s 2 2007 8b/10b 5.0 GT/s 500 MB/s 1.0 GB/s 2.0 GB/s 4.0 GB/s 8.0 GB/s 3 2010 128b/130b 8.0 GT/s 984.6 MB/s 1.97 GB/s 3.9 4 GB/s 7.88 GB/s 15.8 GB/s 4 2017 128b/130b 16.0 GT/s 1969 MB/s 3.94 GB/s 7.88 GB/s 15.7 5 GB/s 31.5 GB/s 5 expected in Q2 2019[33] 128b/130b 32.0 GT/s[ii] 3938 MB/s 7.88 GB/s 15.75 GB/s 31.51 GB/s 63.0 GB/s

pcb设计心得体会

pcb设计心得体会 篇一:PcB电路板设计总结 总结 经过五天的PcB电路板训练,通过对软件的使用,以及实际电路板的设计,对电路板有了更深的认识,知道了电路板的相关知识和实际工作原理。同时也感受到了电路板的强大能力,怪不得现在的电路都是采用集成的电路板电路,因为它实在是有太多的好处,节约空间,方便接线,能大大缩小电路的体积。方便人类小型电器的发明。但是电路板也有一定缺陷,就是太小了,散热不是特别好,这就使得器件的性能不能像想象中那么好。 通过使用,不得不说cadence软件确实很好用,功能太强大,而且也很方便使用,接线,布线,绘制电路板等,很方便使用,不过有一点就是,器件接线的时候不能直接把器件接到导线上,这点不够人性化。虽然说,软件学了五天时间,不过对软件使用还不是能完全掌握,只能掌握一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训PcB电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开

始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用PcBEditer进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就

PCB设计经验总结

-- [PCB]PCB设计经验总结 [PCB]PCB设计经验总结布局:总体思想:在符合产品电气以及机械结构要求的基础上考虑整体美观,在一个PCB板上,元件的布局要求要均衡,疏密有序。1.印制板尺寸必须与加工图纸尺寸相符,符合PCB制造工艺要求,放置MARK点。2.元件在二维、三维空间上有无冲突?3.元件布局是否疏密有序,排列整齐?是否全部布完?4.需经常更换的元件能否方便的更换?插件板插入设备是否方便? 5.热敏元件与发热元件之间是否有适当的距离?6.调整可调元件是否方便?7.在需要散热的地方,装了散热器没有?空气流是否通畅?布局:总体思想:在符合产品电气以及机械结构要求的基础上考虑整体美观,在一个PCB板上,元件的布局要求要均衡,疏密有序。1.印制板尺寸必须与加工图纸尺寸相符,符合PCB制造工艺要求,放置MARK点。2.元件在二维、三维空间上有无冲突?3.元件布局是否疏密有序,排列整齐?是否全部布完?4.需经常更换的元件能否方便的更换?插件板插入设备是否方便? 5.热敏元件与发热元件之间是否有适当的距离?6.调整可调元件是否方便?7.在需要散热的地方,装了散热器没有?空气流是否通畅?8.信号流程是否顺畅且互连最短?9.插头、插座等与机械设计是否矛盾?10.蜂鸣器远离柱形电感,避免干扰声音失真。11.速度较快的器件如SRAM要尽量的离CPU近。12.由相同电源供电的器件尽量放在一起。布线:1.走线要有合理的走向:如输入/输出,交流/直流,强/弱信号,高频/低频,高压/低压等...,它们的走向应该是呈线形的(或分离),不得相互交融。其目的是防止相互干扰。最好的走向是按直线,但一般不易实现,避免环形走线。对于是直流,小信号,低电压PCB设计的要求可以低些。输入端与输出端的边,以免产生反射干扰线应避免相邻平行。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。2.选择好接地点:一般情况下要求共点地,数字地与模拟地在电源输入电容处相连。3.合理布置电源滤波/退耦电容:布置这些电容就应尽量靠近这些元部件,离得太远就没有作用了。在贴片器件的退耦电容最好在布在板子另一面的器件肚子位置,电源和地要先过电容,再进芯片。4.线条有讲究:有条件做宽的线决不做细;高压及高频线应园滑,不得有尖锐的倒角,拐弯也不得采用直角,一般采用135度角。地线应尽量宽,最好使用大面积敷铜,这对接地点问题有相当大的改善。设计中应尽量减少过线孔,减少并行的线条密度。5.尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线。6.数字电路与模拟电路的共地处理,现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接。7.信号线布在电(地)层上在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量,成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是保留地层的完整性。8.关键信号的处理,关键信号如时钟线应该进行包地处理,避免产生干扰,同时在晶振器件边做一个焊点使晶振外壳接地。9.设计规则检查(DRC)布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的需求,一般检查有如下几个方面:线与线,线与元件焊盘,线与贯通孔,元件焊盘与贯通孔,贯通孔与贯通孔之间的距离是否合理,是否满足生产要求。电源线和地线的宽度是否合适,电源与地线之间是否紧耦合(低的波阻抗)?在PCB中是否还有能让地线加宽的地方。对于关键的信号线是否采取了最佳措施,如长度最短,加保护线,输入线及输出线被明显地分开。模拟电路和数字电路部分,是否有各自独立的地线。后加在PCB中的图形(如

PCB设计实验报告

Protel 99SE原理图与PCB设计的实验报告 摘要: Protel 99SE是一种基于Windows环境下的电路板设计软件。该软件功能强大,提供了原理图设计、电路混合信号仿真、PCB图设计、信号完整性分析等电子线路设计需要用的方法和工具,具有人机界面友好、管理文件灵活、易学易用等优点,因此,无论是进行社会生产,还是科研学习,都是人们首选的电路板设计工具。 我们在为期两个星期的课程设计中只是初步通过学习和使用Protel 99SE软件对一些单片机系统进行原理图设计绘制和电路板的印制( PCB),来达到熟悉和掌握Protel 99SE软件相关操作的学习目的。 在该课程设计报告中我主要阐述了关于原理图绘制过程的步骤说明、自制原器件的绘制和封装的添加以及根据原理图设计PCB图并进行了PCB图的覆铜处理几个方面。 关键字:Protel 99SE原理图封装PCB板 正文 一、课程设计的目的 通过本课程的实习,使学生掌握设计电路原理图、制作电路原理图元器件库、电气法则测试、管理设计文件、制作各种符合国家标准的印制电路板、制作印制板封装库的方法和实际应用技巧。主要包括以下内容:原理图(SCH)设计系统;原理图元件库编辑;印制电路板(PCB)设计系统;印制电路板元件库编辑。 二、课程设计的内容和要求 原理图(SCH)设计系统 (1)原理图的设计步骤; (2)绘制电路原理图; (3)文件管理; (4)生成网络表文件; (5)层次原理图的设计。 基本要求:掌握原理图的设计步骤,会绘制电路原理图,利用原理图生产网络表,以达到检查原理图的正确性的目的;熟悉文件管理的方法和层次原理图的设计方法。 原理图元件库编辑 (1)原理图元件库编辑器; (2)原理图元件库绘图工具和命令; (3)制作自己的元件库。 基本要求:熟悉原理图元件库的编辑环境,熟练使用元件库的常用工具和命令,会制自己的元件库。 印制电路板(PCB)设计系统 (1)印制电路板(PCB)的布线流程; (2)设置电路板工作层面和工作参数; (3)元件布局; (4)手动布线与自动布线; (5)电路板信息报表生成。

高速PCB设计总结参考

最贴近实际的——高速PCB设计总结参考 PCB Designer: ZhuJQ ㈠ 、前言 ㈡、节点叙述 第一、 PCB板层的布局 第二、 主要器件布局 第三、 电源线、地线、关键信号线走线 第四、 高速DDR中地址线、数据线、差分线走线 第五、 滤波电容放置位置关键 第六、 数字地、模拟地分地 第七、 电源管理设计要点 第八、 系统ESD、EMC设计 第九、 PCB设计过孔要求 第十、 在数字系统中主要信号阻抗控制需求 ㈢、综合

前言 随着电子产品的更新换代突飞日异,从简单到复杂,从低端到高端,让我们时时刻刻谨记,活到老学到老的重要。本人根据几年的PCB设计,初步统计了一下,在高速PCB设计中,常常容易犯错或忽略,但又尤其重要的问题,这些细节如果不注意将有可能导致整个系统运行不稳定或导致当机,所在预研或在开发前端尽量去注意这些问题,将可以减免带来很多不必要的问题与麻烦。通常一个产品的开发,以设计前端解决一个问题,也许只需要1块钱,如果到了小批量试产验证阶段去解决同一个问题,也许你要花100块钱,如果到了批量生产有客户客诉问题时再去解决同一个问题,也许你花的就不是10块、100块的事情了,说明产品问题越到后端解决,成本越无法估计,带来的负面问题越无法估量。 节点叙述 一、 PCB板层的布局: 1、在板层布局考虑时,首先需要对整个系统的功能模块、信号线大概的有个了解,例 如DDR等长总共有多少?是16位?还是32位,使用单DDR还是多DDR,然后初步 定义出层数; 2、在层的定义时,初步推荐分为以下几种方式,当然在实际操作过程中需要结合各种 因素考虑后评估出层定义: 四层板: ①Layer 1 2 3 4 S1 VCC1 G1 S2 ②Layer 1 2 3 4 S1 G1 VCC1 S2 ③Layer 1 2 3 4 S1 G1 G2 S2 (电源组数较少的条件) 六层板: ①Layer 1 2 3 4 5 6 S1 G1 P1 P2 G2 S2 ②Layer 1 2 3 4 5 6 S1 G1 P1 S2 G2 S3 (电源组较少且信号线多的条件) ③Layer 1 2 3 4 5 6 S1 G1 P1 G2 S2 G3

初学PCB的EMI设计心得以及高速PCB背板设计方案

初学PCB的EMI设计心得 很多初学者对于EMI设计都摸不着头脑,其实我当初也是一样,但是在做了几次设计以后,也逐渐有了一些体会。 首先,对于大脑里面一定要清楚一个概念--在高频里面,自由空间的阻抗是377欧姆,对于一般的EMI中的空间辐射来说,是由于信号的回路到了可以和空间阻抗相比拟的地步,因而信号通过空间“辐射”出来。了解了这一点,要做的就是把信号回路的阻抗降下来。 控制信号回路的阻抗,主要的办法是缩短信号的长度,减少回路的面积,其次是采取合理的端接,控制回路的反射。其实控制信号回路的一个最简单的办法就是对重点信号进行包地处理(在两边最近的距离走地线,尤其是双面板要特别注意,因为双面微带模型阻抗有150欧姆,和自由空间不相上下,而包地可以提供几十欧姆的阻抗),请注意由于走线本身在高频里面也是有阻抗的,所以最好采用地平面或者地线多次接过孔到地平面。我很多的设计都是在采用包地以后,避免了时钟信号的辐射超标。 另外就是要避免信号穿越被分割的区域,很多工程师信号对地进行分割,但有时候又忘记了,把线布过了这些区域,结果造成信号回路绕过很大的区域,无形中增加了布线长度。 对于EMI传导的部分,重点是要用好旁路电容和去藕电容。旁路电容(提供一条交流短路线)一定要以最短的连线布置在芯片电源管脚和地线(平面)上。去藕电容要放在电流需求变化最大的地方,避免因为走线的阻抗(电感),让噪声从电源和地线上耦合出去。当然,合理串联使用磁珠,可以“吸收”(转换成热能)这些噪声。电感有时也可以用来滤除噪声,但是请注意电感本身也是有频率响应范围的,而且封装也决定其频率响应…… 以上是一些最基本的体会。对于EMI设计来说,需要你真正了解你自己的设计,什么地方需要重点照顾,什么地方出了问题会是什么样的现象,备选方案是什么,都需要预先整理好。 高速PCB背板设计方案 高速PCB背板设计者面临信号衰减、符号间干扰(ISI)及串扰等几项主要挑战。具有创新信号调整技术的芯片产品(如高速PCB背板接口解决方案)可有效解决这些系统级难题,使系统厂商能为其客户提供高性能及可升级的系统,并减少开发时间及成本。 路由器、以太网交换机及存储子系统等基于模块化机箱的系统中,高速PCB背板要求有高等级的信号完整性及更高的系统吞吐量。面向这些应用的系统供应商为了用一种经济且及时的方式来设计这些高速PCB背板,正面临众多挑战。他们还必须保护其客户在原有线卡、机箱及电源上的投资,同时还必须支持更高的性能及提供更新的服务。 今天,一些系统中的PCB背板正采用5Gbps或更高速的串行链路技术运行。为设计能以这种速率工作的高可靠系统,要求芯片厂商提供确保在PCB背板中进行无错误传输的解决方案。本文将阐述基于模块化机箱系统中的高速PCB背板及其设计挑战,同时将讨论能解决这些挑战的芯片解决方案。 一、基于模块化机箱的系统实例

相关主题
文本预览
相关文档 最新文档