当前位置:文档之家› 数电实验五触发器实验报告

数电实验五触发器实验报告

数电实验五触发器实验报告

一、实验目的

二、实验原理

三、实验器材

四、实验步骤

五、实验结果分析

六、实验总结

一、实验目的

本次数电实验旨在通过触发器实验,加深学生对于触发器的理解和应用,掌握触发器的工作原理及其在电路中的应用。

二、实验原理

1. 触发器概述

触发器是一种存储器件,可以将输入信号转换成稳定的输出信号,并且能够记住先前输入过的状态。触发器有两个稳态(高电平或低电平),并且只有在时钟信号到来时才会改变状态。

2. SR锁存器

SR锁存器是最简单的触发器之一,由两个交叉耦合反相输出(NOR

或NAND)门构成。当S=1,R=0时,Q=1;当S=0,R=1时,

Q=0;当S=R=0时,保持上一个状态不变。但是SR锁存器存在一个致命缺陷——SET和RESET不能同时为1。

3. D锁存器

D锁存器是由一个数据输入口和一个时钟输入口组成。当D为1且时

钟信号到来时,Q会被置为1;当D为0且时钟信号到来时,Q会被

置为0。D锁存器可以看做是SR锁存器的一种特殊情况,即S=D,

R=not D。

4. JK锁存器

JK锁存器是由J、K、时钟和输出端Q组成的。当J=1,K=0时,

Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q状态取反;当

J=K=0时,保持上一个状态不变。JK锁存器可以看做是SR锁存器的

一种改进型。

5. T锁存器

T锁存器是由T、时钟和输出端Q组成的。当T为1且时钟信号到来时,Q状态取反;当T为0且时钟信号到来时,保持上一个状态不变。T锁存器可以看做是JK锁存器的一种特殊情况,即J=T,K=not T。

三、实验器材

本次实验所需材料如下:

- 数字电路实验箱

- 74LS73触发器芯片

- 电源线、万用表等

四、实验步骤

1. 按照电路图连接74LS73芯片。

2. 打开电源并接通电路。

3. 分别将CLK输入高低电平,并记录输出结果。

4. 将D输入高低电平,并记录输出结果。

5. 将J、K输入高低电平,并记录输出结果。

6. 将T输入高低电平,并记录输出结果。

五、实验结果分析

1. CLK输入高低电平时的输出结果

当CLK输入为高电平时,74LS73芯片的Q0和Q1都为1;当CLK 输入为低电平时,Q0和Q1都为0。这是因为74LS73芯片是上升沿触发器,只有在CLK上升沿到来时才会改变状态。

2. D输入高低电平时的输出结果

当D=0时,Q0和Q1都为0;当D=1时,Q0和Q1都为1。这是

因为D锁存器在接收到一个有效的时钟信号后,会将D的值直接传递给输出端。

3. J、K输入高低电平时的输出结果

当J=K=0时,保持原状态不变;当J=0,K=1时,输出端Q置为0;当J=1,K=0时,输出端Q置为1;当J=K=1时,输出端取反。这

是因为JK锁存器可以实现SET、RESET、保持原状态不变以及翻转状态等多种功能。

4. T输入高低电平时的输出结果

当T=0时,保持原状态不变;当T=1时,状态取反。这是因为T锁

存器只有一个输入端口,可以用来实现状态的翻转。

六、实验总结

通过本次触发器实验,我们深入了解了SR锁存器、D锁存器、JK锁

存器和T锁存器的工作原理和应用。在实验过程中,我们掌握了电路

的连接方法和信号的输入输出规律,并且通过记录数据和分析结果,

加深了对于触发器的理解。在今后的学习中,我们将更好地应用触发器,并且不断提高自己的技能水平。

数电实验报告5

实验五时序电路测试及研究 陈娟1007010090 杨茂1007010085 一、实验目的: 1、掌握常用时序电路分析,设计基础测试方法。 2、训练独立进行试验的技能。 二、实验仪器及材料: 1、双踪示波器 1、器件: 74LS73 双J-K触发器 2片 74LS175 四D触发器 1片 74LS 10 三输入端三与非门 1片 74LS00 二输入端四与非门 1片 三、预习要求: 1.复习课本时序电路的相关知识; 2.学会分析时序电路相关功能电路。 3.了解示波器使用方法。 四、实验内容 1.异步二进制计数器 (1)按图5.1接线。

(2).由CP端输入单脉冲,测试并记录Q1~Q4端状态及波形。 状态表如下: CP CR Q1 Q2 Q3 Q4 0 X 1 1 1 1 1 1 0 1 1 1 2 1 1 0 1 1 3 1 0 0 1 1 4 1 1 1 0 1 5 1 0 1 0 1 6 1 1 0 0 1 7 1 0 0 0 1 8 1 1 1 1 0 9 1 0 1 1 0 10 1 1 0 1 0 11 1 0 0 1 0 12 1 1 1 0 0 13 1 0 1 0 0 14 1 1 0 0 0 15 1 0 0 0 0 16 1 1 1 1 1 (3).CP、QA、QB、QC及QD的波形如下: 2.异步二一十进制加法计数器 (1)按图5.2接线。

Q A、Q B、Q C、Q D 4个输出端分别接发光二极管显示,CP端接连续脉冲或单脉冲。 (2)在CP端接连续脉冲,观察CP、Q A、Q B、Q C、及Q D的波形。 波形如下: 3.自循环移位寄存器—环形计数器。 (1)按图10.3接线,将A、B、C、D置为1000,用单脉冲计数,记录各触发器状态。 图5.3 改为连续脉冲计数,并将其中一个状态为“0”的触发器置为“1”(模拟干扰信号作用的结果),观察计数器能否正常工作。分析原因。 波形图如下:

数电实验触发器实验报告

数电实验触发器实验报告 引言 触发器是数字电路中常用的元件,它可以储存和控制输入信号的状态。在数电实验中,我们进行了触发器的实验,旨在探究触发器的工作原理和应用。 实验目的 本实验的目的是: 1. 了解触发器的基本概念和分类; 2. 掌握触发器的工作原理; 3. 学会使用触发器设计和实现基本的时序电路。 实验材料和设备 1.数字电路实验箱; 2.7400四路二输入与非门芯片; 3.7402四路二输入与非门芯片; 4.7408四路二输入与门芯片; 5.7432四路二输入或门芯片; 6.74165八位平行装载输入八位并行输出移位存储器芯片; 7.电路连接导线; 8.示波器。 实验步骤 实验一:SR触发器的应用 1.将SR触发器芯片连接到实验箱中,根据连接图进行连接; 2.调试硬件连接,确保电路连接无误; 3.给予输入信号,观察触发器的输出变化; 4.记录观察结果。 实验二:JK触发器的应用 1.将JK触发器芯片连接到实验箱中,根据连接图进行连接;

2.调试硬件连接,确保电路连接无误; 3.给予输入信号,观察触发器的输出变化; 4.记录观察结果。 实验三:D触发器的应用 1.将D触发器芯片连接到实验箱中,根据连接图进行连接; 2.调试硬件连接,确保电路连接无误; 3.给予输入信号,观察触发器的输出变化; 4.记录观察结果。 实验四:T触发器的应用 1.将T触发器芯片连接到实验箱中,根据连接图进行连接; 2.调试硬件连接,确保电路连接无误; 3.给予输入信号,观察触发器的输出变化; 4.记录观察结果。 实验五:时序电路的设计 1.使用74LS165芯片进行时序电路的设计; 2.根据设计要求,连接芯片及其他元件; 3.调试硬件连接,确保电路连接无误; 4.给予输入信号,观察时序电路的输出变化; 5.记录观察结果。 实验结果与分析 实验一:SR触发器的应用 观察实验一中的SR触发器,当S=0,R=0时,输出保持不变。当S=1,R=0时,输出为1。当S=0,R=1时,输出为0。当S=1,R=1时,输出无法确定,可能产生非正常状态。 实验二:JK触发器的应用 观察实验二中的JK触发器,当J=0,K=0时,输出保持不变。当J=1,K=0时,输出为1。当J=0,K=1时,输出为0。当J=1,K=1时,输出取反。

数电实验实验五、六触发器、计数器

实验五触发器的逻辑功能测试及移位寄存器 一、实验目的 1. 掌握JK 和D 触发器的逻辑功能 2. 掌握集成触发器的使用方法 3. 学习移位寄存器的构成方法 二、实验内容 1.测试双JK 触发器74LS76的逻辑功能。 2.测试双D 触发器74LS74的逻辑功能。 4. 用二片74LS74构成四位移位寄存器。 三、实验步骤 1.从74LS76中任选一个JK 触发器,将其D R 、D S 、J 、K 端接逻辑开关输入插口,CP 端接单次脉冲源,Q 端接至逻辑电平显示输入插口。按表11-1测试其逻辑功能并记录结果。 2.从74LS74中任选一个D 触发器,按表11-2测试其逻辑功能并记录结果。方法同上。 表11-1表11-2 图11-1 移位脉冲

3.用74LS74构成四位移位寄存器。 ⑴图11-1是D 触发器构成的四位移位寄存器,按图接线。根据74LS74引脚排列,标出引脚号。 ⑵数据输入端接至逻辑开关输出插口,各触发器的输出端Q 接至逻辑电平显示输入 插口。 ⑶工作之初请零,在数据输入端送入相应信号。使其经过4个移位脉冲后,输出为“1101”,将工作过程记录于表11-3中。 表11-3 四、简答题 1. 在图11-2中经过一个CP 脉冲后,JK 触发器为何种状态? JK 触发器为“1”态。 2.用74LS76的JK 触发器转换成的D 触发器与74LS74的 D 触发器在工作中有什么不同之处?图11-2 前者在时钟脉冲后沿触发翻转,后者在时钟脉冲前沿触发翻转。 3. 移位寄存器如果采用串行输出方式应从哪里输出?需送几个脉冲才能把“1101”取出? 移位寄存器如果采用串行输出方式应从Q 3输出。需送八个脉冲才能把“1101”取出。 实验六计数器(一) 01

触发器功能测试实验报告

触发器功能测试 031210419 胡鲲 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持 JK触发器(上升沿触发) R2T1(s_n,r_n) V16(J) V17(K) U16(q) E19(q_n) 11->10->01->11 0 1 暗亮置0 11->10->01->11 1 0 亮暗置1 11->10->01->11 0 0 亮暗保持11->10->01->11 1 1 暗亮翻转 五.实验心得及体会 用V erilog做数电实验看似比普通的用面包板做实验来得轻松,实际上能用软件简单做实验的前提是对该软件的编译语言十分熟练,如此才能轻易地实现芯片的数字化,将有关芯片的逻辑功能用编译语言实现,这其中的学习过程也是不简单的。 因此,每次实验前的预习也是相当重要的,正如此次实验,在认真研究过老师课前下发的指导后,我在课上很快就做出了实验结果,这就充分说明了实验课 前预习的重要性。

触发器实验报告

实验3 触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此 种情况发生,表5-8-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表5-8-1 输入输出 S R Q n+1Q n+1 0 1 1 0 1 0 0 1 1 1 Q n Q n 0 0 φφ 图5—8—1 基本RS触发器 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图5-8-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组

成“与”的关系。Q与Q为两个互补输出端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图5-8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表5-8-2 表5-8-2 输入输出 R D CP J K Q n+1Q n+1 S D 0 1 ××× 1 0 1 0 ×××0 1 0 0 ×××φφ 1 1 ↓0 0 Q n Q n 1 1 ↓ 1 0 1 0 1 1 ↓0 1 0 1 1 1 ↓ 1 1 Q n Q n 1 1 ↑××Q n Q n 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双 D 74LS74、四D 74LS175、六D 74LS174等。 图5-8-3 为双D 74LS74的引脚排列及逻辑符号。功能如表5-8-3。

数电实验五触发器实验报告

数电实验五触发器实验报告 一、实验目的 二、实验原理 三、实验器材 四、实验步骤 五、实验结果分析 六、实验总结 一、实验目的 本次数电实验旨在通过触发器实验,加深学生对于触发器的理解和应用,掌握触发器的工作原理及其在电路中的应用。 二、实验原理 1. 触发器概述 触发器是一种存储器件,可以将输入信号转换成稳定的输出信号,并且能够记住先前输入过的状态。触发器有两个稳态(高电平或低电平),并且只有在时钟信号到来时才会改变状态。 2. SR锁存器

SR锁存器是最简单的触发器之一,由两个交叉耦合反相输出(NOR 或NAND)门构成。当S=1,R=0时,Q=1;当S=0,R=1时, Q=0;当S=R=0时,保持上一个状态不变。但是SR锁存器存在一个致命缺陷——SET和RESET不能同时为1。 3. D锁存器 D锁存器是由一个数据输入口和一个时钟输入口组成。当D为1且时 钟信号到来时,Q会被置为1;当D为0且时钟信号到来时,Q会被 置为0。D锁存器可以看做是SR锁存器的一种特殊情况,即S=D, R=not D。 4. JK锁存器 JK锁存器是由J、K、时钟和输出端Q组成的。当J=1,K=0时, Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q状态取反;当 J=K=0时,保持上一个状态不变。JK锁存器可以看做是SR锁存器的 一种改进型。 5. T锁存器 T锁存器是由T、时钟和输出端Q组成的。当T为1且时钟信号到来时,Q状态取反;当T为0且时钟信号到来时,保持上一个状态不变。T锁存器可以看做是JK锁存器的一种特殊情况,即J=T,K=not T。 三、实验器材

本次实验所需材料如下: - 数字电路实验箱 - 74LS73触发器芯片 - 电源线、万用表等 四、实验步骤 1. 按照电路图连接74LS73芯片。 2. 打开电源并接通电路。 3. 分别将CLK输入高低电平,并记录输出结果。 4. 将D输入高低电平,并记录输出结果。 5. 将J、K输入高低电平,并记录输出结果。 6. 将T输入高低电平,并记录输出结果。 五、实验结果分析 1. CLK输入高低电平时的输出结果 当CLK输入为高电平时,74LS73芯片的Q0和Q1都为1;当CLK 输入为低电平时,Q0和Q1都为0。这是因为74LS73芯片是上升沿触发器,只有在CLK上升沿到来时才会改变状态。 2. D输入高低电平时的输出结果

触发器功能测试实验报告 031210434

触发器功能测试 031210425 刘思何 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 3.D触发器的编写,验证 如JK触发器一样进行验证。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持上个状态

触发器——实验报告

触发器——实验报告 本次实验主要针对的是数据库的触发器,触发器可以在数据库中对指定的事件进行响应,可以在事件发生前或发生后对相关的SQL语句进行处理,从而实现对数据的限制、约束等相关操作。本次实验的目标是通过实例了解什么是触发器,如何创建触发器以及触发器的应用。 一、实验环境 本次实验使用MySQL数据库作为实验环境。 二、实验步骤 1. 创建数据库和表结构 首先,需要创建一个新的数据库,并新建一张表来进行触发器的测试。这里,我们创建一个名为“students”的数据库和“grades”表。表结构如下: CREATE TABLE grades( id int(11) NOT NULL AUTO_INCREMENT, PRIMARY KEY (id) ) ENGINE=InnoDB DEFAULT CHARSET=utf8 COLLATE=utf8_unicode_ci; 2. 创建触发器 接下来,我们要创建一个触发器来对数据进行限制。比如,我们想对成绩的输入进行限制,限制只能输入0-100之间的分数。 创建触发器的语法如下: CREATE TRIGGER trigger_name {BEFORE | AFTER} {INSERT | UPDATE | DELETE} ON table_name FOR EACH ROW BEGIN -- 触发器的处理 END;

以上语法中,trigger_name是触发器的名称,table_name是触发器所作用的表名,BEFORE或AFTER关键字表明触发器是在事件发生前还是发生后进行处理的,在本次实验中,我们使用BEFORE关键字,表示在事件发生前进行处理。 触发器可以应用在INSERT、UPDATE、DELETE事件上,我们用INSERT事件做例子,表 示在插入数据之前实现相应的数据限制。 触发器中的处理代码可以是任何合法的SQL语句,其中可以使用NEW和OLD关键字来 引用触发器作用的记录,NEW表示要插入或者修改的记录,OLD表示删除的记录。 根据上述语法,我们可以创建一个名为“grade_check”的触发器,代码如下: IF NEW.grade < 0 or NEW.grade > 100 THEN SIGNAL SQLSTATE '45000' SET MESSAGE_TEXT = 'The grade should be between 0 and 100'; 以上代码中,触发器的名称为“grade_check”,在进行INSERT事件之前进行处理。 如果插入的分数小于0或大于100,则通过SIGNAL语句抛出一个异常,提示用户输入无效数据。 触发器创建完成后,我们来测试它是否能够正常工作。 首先,我们尝试插入一条分数为70的记录: INSERT INTO grades (name, grade) VALUES ('小明', 70); 执行以上语句后,可以发现记录已经成功插入。 执行以上语句后,会收到“ERROR 1644 (45000): The grade should be between 0 and 100”的异常提示,插入操作失败。 三、实验结论

数电实验报告触发器

数电实验报告触发器 触发器是数字电路中常用的一种元件,它可以存储和传输信息。在数电实验中,触发器是一个非常重要的实验内容。本文将介绍触发器的基本概念、工作原理 以及实验过程中的一些注意事项。 一、触发器的基本概念 触发器是一种能够在特定条件下改变输出状态的电路元件。它可以存储一个比 特的信息,并根据输入信号的变化来改变输出信号的状态。触发器有很多种类,其中最常见的是D触发器、JK触发器和SR触发器。 二、触发器的工作原理 触发器的工作原理可以用时序图来表示。以D触发器为例,它有两个输入端 (D和CLK)和两个输出端(Q和Q')。当CLK信号上升沿到来时,D触发器 会根据D端的输入信号来改变Q端的输出状态。如果D端为高电平,那么Q 端将保持高电平;如果D端为低电平,那么Q端将保持低电平。 三、实验过程中的注意事项 在进行触发器实验时,需要注意以下几点: 1. 选择合适的电源电压和电阻:触发器的工作电压范围一般在3V到15V之间,因此在实验中需要选择适当的电源电压。此外,为了保证电路的稳定性,还需 要选择合适的电阻值。 2. 连接正确的电路:触发器实验中,需要将触发器与其他元件(如开关、电源等)正确连接起来。如果连接错误,可能会导致触发器无法正常工作。 3. 使用合适的测试仪器:在实验中,可以使用示波器、逻辑分析仪等测试仪器 来观察触发器的输入输出信号波形。这样可以更加直观地了解触发器的工作状

态。 4. 注意触发器的时序关系:触发器的输出状态是根据输入信号的变化来决定的,因此在实验中需要注意触发器的时序关系。例如,在D触发器实验中,需要在CLK信号上升沿到来之前,将正确的输入信号D输入到触发器中。 四、实验结果及分析 在进行触发器实验后,可以通过观察示波器或逻辑分析仪上的波形图来分析触 发器的工作状态。根据波形图,可以判断触发器是否正常工作,并进一步分析 其性能指标,如响应时间、稳定性等。 五、实验应用及展望 触发器在数字电路中有广泛的应用。例如,在计算机的存储器中,触发器可以 用来存储和传输数据;在时序电路中,触发器可以用来实现各种复杂的时序控 制功能。未来,随着科技的不断发展,触发器的应用领域还将进一步扩展,为 数字电路的设计和实现提供更多可能性。 总结: 通过本次触发器实验,我们对触发器的基本概念、工作原理以及实验过程中的 注意事项有了更深入的了解。触发器作为数字电路中的重要元件,其应用广泛 且多样化。通过不断学习和实践,我们可以进一步掌握触发器的设计和应用技巧,为数字电路的研究和开发做出更大的贡献。

数电实验报告触发器及其应用(共10篇)

数电实验报告触发器及其应用(共10篇) 1、实验目的:掌握触发器的原理和使用方法,学会利用触发器进行计数、存储等应用。 2、实验原理: 触发器是一种多稳态数字电路,具有存储、计数、分频、时序控制等功能。常见的触 发器有RS触发器、D触发器、T触发器、JK触发器等。 RS触发器是由两个交叉互连的反相器组成的,它具有两个输入端R(复位)和S(置位),一个输出端Q。当输入R=1,S=0时,Q=0;当输入R=0,S=1时,Q=1;当R=S=1时,无法确定Q的状态,称为禁态。 JK触发器是将RS触发器的两个输入端合并在一起而成,即J=S,K=R,当J=1,K=0时,Q=1;当J=0,K=1时,Q=0;当J=K=1时,Q反转。JK触发器具有启动、停止、颠倒相位等功能。 D触发器是由单个输入端D、输出端Q和时钟脉冲输入端组成的,当时钟信号上升沿出现时,D触发器的状态发生改变,如果D=1,Q=1;如果D=0,Q=0。 T触发器只有一个输入端T和一个输出端Q,在每个时钟脉冲到来时,T触发器执行 T→Q操作,即若T=1,则Q取反;若T=0,则Q保持不变。 触发器可以组成计数器、分频器、存储器、状态机等各种数字电路,被广泛用于计算机、控制系统等领域。 3、实验器材: 数码万用表、示波器、逻辑分析仪、CD4013B触发器芯片、几个电阻、电容、开关、 信号发生器等。 4、实验内容: 4.1 RS触发器测试 利用CD4013B芯片来测试RS触发器的功能,在实验中将RS触发器的输入端分别接入CD4013B芯片的端子,用示波器观察输出端的波形变化,并记录下输入输出关系表格,来 验证RS触发器的工作原理。 具体实验步骤如下:

触发器使用实验报告

触发器使用实验报告 本次实验主要是对触发器的使用进行了实验研究。具体来说,是通过设计电路,编写代码等方式进行触发器的实验,然后通过编写实验报告来总结和介绍这些实验的过程和结果。 1. 实验目的: 1. 了解触发器的概念和种类; 2. 掌握触发器的应用方式; 3. 理解基本的推挽输出电路设计; 4. 掌握使用触发器实现频率分频器的方法。 1. 电路设计:通过电路图设计产生触发器时序信号的电路。 2. 代码编写:通过编写代码实现上述电路的功能,利用单片机的相应端口输出控制信号。 3. 推挽输出电路设计:通过电路图设计推挽输出电路,实现驱动舵机等组件的控制。 4. 频率分频器设计:通过电路图设计基于触发器的4分频电路,将输入的高频信号四分频输出。 1. 确定实验所需元器件,并对相应器件进行编号标记。 2. 设计电路图,包括:触发器时序电路图,推挽输出电路图,以及频率分频器电路图。 3. 焊接电路图中的元器件,注意焊接过程中连线的正确性和牢固性。 4. 调试电路,检查电路的性能是否符合设计要求。 5. 对代码进行编写,实现控制电路的功能。 6. 测试控制效果,并调整电路和代码,确保控制正确可靠。 4. 实验结果和分析: 1. 电路设计和焊接均顺利完成,实现了触发器的时序信号产生,舵机的控制,4分频输出等功能。

2. 在使用触发器时,需要判断触发器的种类和输入信号的类型,以确保信号正确触发。 3. 在推挽输出电路设计中,需要根据所需控制的设备特点进行设计,包括电压,电 流大小等。 4. 频率分频器的设计中,需要注意分频比例的计算和实现,避免出现精度问题。 5. 通过此次实验,加深了对触发器的理解和应用,为今后的电路设计提供了有力的 支撑和参考。 本次实验通过设计电路,编写代码等方式进行了触发器的实验,加深了对触发器的应 用和原理的理解,为今后的电路设计提供了重要的帮助。同时,也发现了一些问题,如在 舵机控制中需要注意电流大小等问题,对今后的实验有所启示。总之,此次实验收获丰富,对今后的学习和工作有着重要的参考作用。

触发器实验报告总结

篇一:触发器及其应用实验报告 学生实验报告 篇二:数据库实验3 触发器报告 数据库专题训练------触发器 实验报告 系别:计算机科学与技术班级:计11-3班姓名:黄娟娟学号:11101020324 成绩: 评语: 指导教师签字:日期: 实验二触发器 一、实验环境及要求 触发器是一种特殊的存储过程,不能被用户直接调用。可以包含复杂的 sql语句。在特定事件发生时自动触发执行,通常用于实现强制业务规则和数据完整性。dml触发器分为两种类型:after 触发器和 instead of触发器。通过本次实验掌握触发器的创建方法以及使用方法。 二、实验步骤及结果 1) 创建一个名为tri_insert_s的触发器,测试改触发器的执行情况,并给出实验结果。当插入的新记录中sage 的值不是18至25之间的数值时,就激活该触发器,撤销该插入操作,并给出错误提示。 use sxcj go create trigger tri_insert_s on s after insert as if exists (select * from inserted where sage>=18 and sage<=25) print添加成功! else begin print无法添加! rollback

transaction end go insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) select * from s go 显示如下: insert into s values(s8,黄丽,女,26,计算机) insert into s values(s8,黄丽,女,20,计算机) 2)创建一个名为tri_update_sc的触发器,要求:(1)首先判断数据库中是否已经存在名为tri_update_sc的触发器,如果存在,首先删除,再创建。(2)当试图修改sc表中的学生成绩时,给出不能随便修改成绩的信息提示。 use sxcj go if exists(select name from sysobjects where name=tri_update_sc and type=tr) begin drop trigger tri_update_sc end else print不存在该触发器,可新建。 go 显示如下: create trigger tri_update_sc on sc after update as if update(score) begin print不能随意修改成绩!

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下:

○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下: ○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现)

将欲实现功能列出真值表如下: 00010 01110 11100 10001通过观察上面的真值表,可以得出下面的表达式: 连接电路图如下:

四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下:○1二分频器: ○2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

基本触发器实验报告

基本触发器实验报告 一、实验目的 本实验旨在掌握基本触发器的工作原理和使用方法,通过实验验证其 稳定性和可靠性。 二、实验原理 基本触发器是一种常用的数字电路元件,主要用于存储和传输数字信号。常见的基本触发器包括RS触发器、D触发器、JK触发器和T触 发器。 RS触发器由两个输入端R和S以及两个输出端Q和Q'组成。当R=0,S=1时,Q=1,Q'=0;当R=1,S=0时,Q=0,Q'=1;当R=S=1时,保持原状态不变;当R=S=0时,禁止状态转换。 D触发器只有一个输入端D和两个输出端Q和Q'。当D为高电平时,Q为高电平;当D为低电平时,Q为低电平。 JK触发器由三个输入端J、K和CLK以及两个输出端Q和Q'组成。当CLK上升沿到来时,若J为高电平,则Q取反;若K为高电平,则Q 不变。当J与K同时为高电平时,则保持原状态不变。

T触发器只有一个输入端T和两个输出端Q和Q'。当T为高电平时,在CLK上升沿到来时,若Q为低电平,则Q为高电平;若Q为高电平,则Q为低电平。 三、实验器材 数字逻辑实验箱、示波器、信号源、多用表等。 四、实验步骤 1. 按图连接RS触发器,设置R=0,S=1,观察输出端Q和Q'的变化情况; 2. 将R和S接反,设置R=1,S=0,观察输出端Q和Q'的变化情况; 3. 将R和S均设为1,观察输出端Q和Q'的变化情况; 4. 将R和S均设为0,观察输出端Q和Q'的变化情况; 5. 按图连接D触发器,将输入端D接到信号源上,并设置不同的输入信号频率和占空比,观察输出端Q的变化情况; 6. 按图连接JK触发器,将J和K接到信号源上,并设置不同的输入信号频率和占空比,观察输出端Q的变化情况; 7. 按图连接T触发器,将输入端T接到信号源上,并设置不同的输入 信号频率和占空比,观察输出端Q的变化情况。 五、实验结果与分析 1. RS触发器:当R=0时,输出端Q为1,Q'=0;当S=0时,输出 端Q为0,Q'=1;当R=S=1时,输出端Q和Q'不变;当R=S=0时,

触发器及其应用实验报告

触发器及其应用实验报告 一、实验目的 通过本次实验,我们的目标是: 1.了解触发器的基本原理。 2.学习触发器的分类及其应用场景。 3.通过实验了解触发器的使用方法。 二、实验器材 1.示波器。 2.信号发生器。 3.逻辑门芯片。 4.电源。 5.电线、面包板等。 三、实验原理 触发器是由逻辑门电路组成的电子器件,具有存储和控制的功能,它能够接收一个或多个输入信号,通过逻辑门电路进行处理,并输出结果。因为具有存储和控制的功能,所以可以被广泛应用于数字电路中。 触发器分为锁存触发器和触发器两种。 锁存触发器存在一个叫做钟脉冲的输入信号,这个输入信号决定了锁存触发器是否工作。当输入一个高电平的钟脉冲时,锁存触发器将会把它的输入信号“锁定”,并输出相应的结果;当钟脉冲为低电平时,锁存触发器会维持自己的状态不变。 触发器一般也有两个输入信号,分别是时钟和数据。当时钟为高电平的时候,数据会被写入到触发器中,并且继续保存下来;当时钟为低电平的时候,触发器会维持自己的状态不变。 四、实验步骤 1、搭建RS锁存器电路图

将R、S两个输入端接到逻辑门芯片上,并将输出端接上示波器,调整示波器参数,实时观察输出波形。 在示波器上显示R、S各种输入波形,了解电路的工作原理和特性。 4、测试D触发器电路 五、实验结果 通过本次实验,我们成功地实现了RS锁存器和D触发器的搭建和测试。我们通过不同的输入信号波形测试了电路的各种工作特性,如RS锁存器的存储和控制特性以及D触发器的时序控制特性等。 六、实验分析 触发器是数字电路中的关键元件之一,它可以实现数字信号的存储和控制。本次实验通过搭建RS锁存器和D触发器电路,并通过逻辑门芯片实现,得出了两种触发器的不同工作原理和特性。同时,我们还通过不同的输入波形测试了它们的各种工作状态,进一步了解和掌握触发器的应用技巧和调试方法。这对于我们深入理解和掌握数字电路原理以及实际应用具有重要意义。 同时,我们还通过实际操作锻炼了自己的实验技能,深入理解了数字电路的原理和应用。这对我们今后的学习和工作都具有很大帮助。

触发器及其应用实验报告

学生实验报告 院别电子信息学院课程名称电子技术实验 班级无线技术12 实验名称触发器及其应用 姓名刘灼鹏实验时间2014年5月22日 学号2012010302032 指导教师文毅 报告内容 一、实验目的和任务 1.掌握基本RS、JK、T和D触发器的逻辑功能. 2.掌握集成触发器的功能和使用方法. 3.熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态“1"和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器.基本RS触发器具有置“0"、置“1"和保持三种功能。通常称S为置“1"端,因为S=0时触发器被置“1”;R为置“0"端,因为R=0时触发器被置“0”.当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。 基本RS触发器也可以用两个“或非门”组成,此时为高电平有效。 图14-1 二与非门组成的基本RS触发器 (a)逻辑图(b) 逻辑符号

基本RS触发器的逻辑符号见图14-1(b),二输入端的边框外侧都画有小圆圈,这是因为置1与置0都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: n n n Q K Q J Q+ = +1 图14—2 JK触发器的引脚逻辑图 其中,J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成“与”的关系。Q和Q为两个互补输入端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1"状态. JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S 端为高电平有效。 3、T触发器 在JK触发器的状态方程中,令J=K=T则变换为: 1 n n n Q TQ TQ +=+ 这就是T触发器的特性方程。由上式有: 当T=1时, 1 n n Q Q += 当T=0时, 1 n n Q Q += 即当T=1时,为翻转状态;当T=0时,为保持状态。

触发器实验报告

触发器实验报告 触发器实验报告 引言 触发器是数字电路中常用的组合逻辑电路,用于储存和记忆数据,并实现时序逻辑功能。本实验通过实验板上的电路元件和电路模块,设计和配置不同类型的触发器电路,实现相应的功能,并加深对触发器的原理和应用的理解。 一、实验目的 1. 理解触发器的工作原理; 2. 掌握触发器的设计和配置方法; 3. 掌握触发器的应用技巧。 二、实验仪器和器件 1. 实验板:包括触发器模块、电源插座和数字电路板; 2. 电源线; 3. 按钮开关; 4. LED灯; 5. 连线。 三、实验内容与步骤 1. J-K触发器的设计和配置 (1)将J-K触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与J-K触发器连接,并根据需要配置J、K输入信号和时钟信号; (3)通过实验配置J-K触发器,并观察LED灯的亮灭情况。

2. D触发器的设计和配置 (1)将D触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与D触发器连接,并根据需要配 置D输入信号和时钟信号; (3)通过实验配置D触发器,并观察LED灯的亮灭情况。 3. T触发器的设计和配置 (1)将T触发器模块插入实验板上的插口上; (2)将按钮开关和LED灯与T触发器连接,并根据需要配置 T输入信号和时钟信号; (3)通过实验配置T触发器,并观察LED灯的亮灭情况。 四、实验结果与分析 本次实验中,我成功设计和配置了J-K触发器、D触发器和T 触发器电路,并通过实验得到了相应的结果。在配置J-K触发器时,当J=1、K=1并且时钟信号上升沿到来时,LED灯亮起;当J=0、K=1并且时钟信号上升沿到来时,LED灯熄灭。在配 置D触发器时,当D=1并且时钟信号上升沿到来时,LED灯 亮起;当D=0并且时钟信号上升沿到来时,LED灯熄灭。在 配置T触发器时,当T=1并且时钟信号上升沿到来时,LED 灯状态取反;当T=0并且时钟信号上升沿到来时,LED灯保 持原状态不变。 五、实验总结 通过本次实验,我进一步掌握了触发器的原理和应用方法。触发器作为数字电路中常用的组合逻辑电路,具有存储和时序功

相关主题
文本预览
相关文档 最新文档