当前位置:文档之家› 红外可逆计数器设计

红外可逆计数器设计

红外可逆计数器设计
红外可逆计数器设计

《光电子技术》课程设计课题名称:红外可逆计数器设计

指导老师:曾维友

一、课题名称:

红外可逆计数器设计

二、设计任务:

设计一个红外可逆计数器,要求:

1、当一物体沿某一方向经过计数器时,计数器进行加一计数;而物体沿反方向经过计数器时,计数器进行减计数;

2、用数码管显示当前的计数值,最大计数值为1000;

3、设计方案经济、实用、可靠。

三、设计方案:

方案(1):红外发射管 + 一体化按收头:适用于发射管与接收管距离较大的情形

方案(2):槽型光电开关:适用于发射管与接收管距离较小的情形

波发生器

TSOP34838

38KHz 单片机系统

显示模块

片机系统

显示模块

Vcc

四、方案选择

由以上两种方案的设计框图可以看出:两种方案的唯一不同之处在于光电发射和接收装置,方案一釆用的是红外发射管加上一体化接收头,适用于发射管与接收管距离较大的情形,而且为了防止误动作,在光电发射部分加入了方波调制电路,因而具有较好的抗干扰能力;而方案二的电路比较简单,只用了一个槽型光电开关,外加两个限流电阻和一个反相器,这种电路适用于发射管与接收管距离较小的情形。考虑到越来越多的流水线上的产品和各种公共场所需要进行自动计数,而在这种情形下发射管与接收管距离一般都比较较大,加之方案一有较强的抗干扰能力。综合以上考虑,我们选择了方案一。

五、模块设计

1、方波发生电路

方波发生器的组成方式很多,可以用集成运放构成,可以用一些定时芯片构成,也可以用一些数字门电路构成。由于在数电课程中,我们已经学习了用555定时器构成的方波发生器,对此比较熟悉,电路也比较简单,而且性能良好,因此我们选择了用NE555构成的方波发生器。电路如下:

2、单片机系统

将两探测器的输出分别与单片机的P1.0和P1.1相接,根据两探测器的变化次序来判断是加计数还是减计数,然后再根据探测器的变化状态来进行计数操作,最后的计数模式及其结果由P0口和P2口输出。

3、显示模块

显示可分为静态显示和动态显示。静态显示时各个数码管同时工作,因此亮度较均衡,但每个数码管都要占用一个输出口,接口资源浪费较大,考虑到本课题要求的最大计数值为1000,也就说最少需要四个接口,而前面的探测器输入已经占用了P1口,剩下的三个P 口在没有扩展的情况下显然不满足要求,因此我们选择了动态显示的方案。动态显示时,将四个数码管的8位段选都接在P0口上,位选分别接在P2口的前四个端口上,进行动态扫描输出。由于扫描周期很短,在人的视觉暂留现象及发光二极管的余辉效应下,看到的将是一组稳定的显示数据,而不会有闪烁感。

六、系统总原理图

七、程序

#include

#define uint unsigned int

#define uchar unsigned char

Uchar code dantab[]={ 0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40} ;

//0~9,+ -;

uchar key;

uint value=0;

sbit W1=P2^0;

sbit W2=P2^1;

sbit W3=P2^2;

sbit W4=P2^3;

void delay1ms()

{

uchar t=25;

while(t--);

}

void Display(uint num)

{

uchar temp1,temp2,temp3,temp4;

temp1=num/1000;

temp2=num%1000/100;

temp3=num%100/10;

temp4=num%10;

P0=dantab[temp1];

W1=0;

delay1ms();

W1=1;

P0=dantab[temp2];

W2=0;

delay1ms();

W2=1;

P0=dantab[temp3];

W3=0;

delay1ms();

W3=1;

P0=dantab[temp4];

W4=0;

delay1ms();

W4=1;

}

void scan()

{

key = 0x03 & P1;

while(key==0x00) { key = 0x03 & P1;Display(value);}

if(key==0x02)

{

while(key==0x02) {key = 0x03 & P1;Display(value);}

while(key==0x03) { key = 0x03 & P1;Display(value);}

while(key==0x01)

{

key = 0x03 & P1;Display(value);

if(key==0x00)

{

value++;

if(value==1001)

{

value=0;

}

Display(value);

}

}

}

if(key==0x01)

{

while(key==0x01) { key = 0x03 & P1;Display(value); }

while(key==0x03) { key = 0x03 & P1;Display(value);}

while(key==0x02)

{ key = 0x03 & P1;Display(value);

if(key==0x00)

{

value--;

if(value==-1)

{

value=1000;

}

Display(value);

}

}

}

}

void main()

{

P1=0x00;

EA=1; //CPU开中断

EX0=1; //允许外部中断0中断

IT0=1; //边沿触发

while(1){ scan();}

}

八、PCB板设计

九、焊接实物

基于Quartus六十进制计数器的设计说明

EDA技术实践课程设计 2014年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目六十进制计数器 专业学号 主要容: 利用QuartusII设计一个六十进制计数器。该电路是采用整体置数法接成的六十进制计数器。首先需要两片74160接成一百进制的计数器,然后将电路的59状态译码产生LD′=0信号,同时加到两片74160上,在下一个计数脉冲(第60个计数脉冲)到达时,将0000同时置入两片74160中,从而得到六十进制计数器。主要要求如下:(1)每隔1个周期脉冲,计数器增1; (2)当计数器递增到59时,进位端波形发生跳变,说明计数器产生进位信号,之后计数器会自动返回到00并重新计数; (3)本设计主要设备是两片74160同步十进制计数器,时钟信号通过建立波形文件得以提供。 主要参考资料: [1] 朱正伟.EDA技术及应用[M].第2版.:清华大学,2013. [2] 国洪.EDA技术与实验[M].:机械工业,2009. [3] 忠平,高金定,高见芳.基于QuartusII的FPGA/CPLD设计与实践[M].:电子工业,2010. [4] 颂华.数字电子技术基础[M].第2版.:电子科技大学,2009. [5] 阎石.数字电子技术基础[M].第5版.:高等教育,2006. [6] 康华光.电子技术基础:数字部分[M].:高等教育,2000. 完成期限 2014.7.21——2014.7.25 指导教师 专业负责人 2014年 7 月18日

目录 1 设计 (1) 2 方案选择与电路原理图的设计 (1) 2.1 单元电路一:十进制计数器电路(个位) (2) 2.2 单元电路二:十进制计数器(十位) (3) 2.3 单元电路三:置数与进位电路 (3) 3 元件选取与电路图的绘制 (4) 3.1 元件选取 (4) 3.2 电路图的绘制 (4) 4 编译设计文件 (5) 5 仿真设计文件 (6) 6 总结 (10) 参考文献 (11)

红外可逆计数器设计

《光电子技术》课程设计课题名称:红外可逆计数器设计 指导老师:曾维友

一、课题名称: 红外可逆计数器设计 二、设计任务: 设计一个红外可逆计数器,要求: 1、当一物体沿某一方向经过计数器时,计数器进行加一计数;而物体沿反方向经过计数器时,计数器进行减计数; 2、用数码管显示当前的计数值,最大计数值为1000; 3、设计方案经济、实用、可靠。 三、设计方案: 方案(1):红外发射管 + 一体化按收头:适用于发射管与接收管距离较大的情形 方案(2):槽型光电开关:适用于发射管与接收管距离较小的情形 方 波发生器 TSOP34838 38KHz 单片机系统 显示模块 单 片机系统 显示模块 Vcc

四、方案选择 由以上两种方案的设计框图可以看出:两种方案的唯一不同之处在于光电发射和接收装置,方案一釆用的是红外发射管加上一体化接收头,适用于发射管与接收管距离较大的情形,而且为了防止误动作,在光电发射部分加入了方波调制电路,因而具有较好的抗干扰能力;而方案二的电路比较简单,只用了一个槽型光电开关,外加两个限流电阻和一个反相器,这种电路适用于发射管与接收管距离较小的情形。考虑到越来越多的流水线上的产品和各种公共场所需要进行自动计数,而在这种情形下发射管与接收管距离一般都比较较大,加之方案一有较强的抗干扰能力。综合以上考虑,我们选择了方案一。 五、模块设计 1、方波发生电路 方波发生器的组成方式很多,可以用集成运放构成,可以用一些定时芯片构成,也可以用一些数字门电路构成。由于在数电课程中,我们已经学习了用555定时器构成的方波发生器,对此比较熟悉,电路也比较简单,而且性能良好,因此我们选择了用NE555构成的方波发生器。电路如下:

余三码设计可逆计数器

电子综合设计第二次设计作业(VHDL语言部分) (一)以余3码设计一模43的可逆计数器,并将结果以十进制输出。(设工作频率为20MHz)。 1、程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY f IS PORT(clk,clr,updn:STD_LOGIC; bcdn:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END ENTITY f; ARCHITECTURE rtl OF f IS SIGNAL count_7:STD_LOGIC_VECTOR(6 DOWNTO 0); SIGNAL bcd1n:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN bcd1n<=count_7; B:PROCESS(count_7,clr,clk)IS BEGIN IF(clr='1')THEN count_7<=(OTHERS=>'0'); ELSIF(clk'EVENT AND clk='1')THEN IF(updn='1'AND count_7=126)THEN count_7<="0000000"; else count_7<=count_7+3; END IF; IF(updn='0')THEN count_7<=count_7-3; END IF; END IF; CASE bcd1n IS WHEN"0000000"=>bcdn<="0000000"; WHEN"0000011"=>bcdn<="0000001"; WHEN"0000110"=>bcdn<="0000010"; WHEN"0001001"=>bcdn<="0000011"; WHEN"0001100"=>bcdn<="0000100"; WHEN"0001111"=>bcdn<="0000101"; WHEN"0010010"=>bcdn<="0000110"; WHEN"0010101"=>bcdn<="0000111"; WHEN"0011000"=>bcdn<="0001000"; WHEN"0011011"=>bcdn<="0001001"; WHEN"0011110"=>bcdn<="0001010";

60进制计数器

电子技术基础实验 课程设计 60进制计数器 学期:2015-2016(一) 班级:电自1418 姓名:张垚 学号:2014302010933 日期:2015年12月30日

一、实验目的 (一)掌握中规模集成计数器74LS161的引脚图和逻辑功能。 (二)熟悉555集成定数器芯片的引脚图。 (三)利用74LS161和555定时器构成60进制计数器。 (四)在Multisim软件中仿真60进制计数器。 二、实验内容 (一)集成计数器74LS161逻辑功能验证。 (二)用555定时器构成多谐振荡器。 (三)用两片74LS161和555定时器构成60进制计数器。 三、集成计数器介绍 (一)集成计数器74LS161管脚介绍 74LS161是4位二进制同步加法计时器。图1为它的管脚排列图,集成芯片74LS161的CLR是异步清零端(低电平有效),LOAD是异步预置数控制端(低电平有效)。CLK是时钟脉冲输入端,RCO是进位输出端,ENP、ENT 是计数器使能端,高电平有效。A、B、C、D是数据输入端;QA、QB、QC、QD是数据输出端。 图1 74LS161管脚排列图 (二)集成计数器74LS161功能介绍 由表1可知,74LS161具有以下功能: 1.异步清零。当CLR=0时,无论其他各输入端的状态如何,计数器均被直接置“0”。 2.同步预置数。当CLR=1、LOAD=0且在CP上升沿作用时,计数器将ABCD 同时置入QA、QB、QC、QD,使QA、QB、QC、QD=ABCD。

3.保持(禁止)。CLR=LOAD=1且ENP、ENT=0时,无论有无CP脉冲作用,计数器都将保持原有的状态不变(停止计数)。 4.计数。CLR=LOAD=ENP=ENT=1时,74LS161处于计数状态。 表1 74LS161功能表 四、用555定时器构成多谐振荡器 (一)多谐振荡器的构成 由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(THR脚)和低电平触发端(TRI脚)并接后接到R2和C的连接处,将放电端(DIS脚)接到R1,R2的连接处。 (二)工作原理 由于接通电源瞬间,电容C来不及充电,电容器两端电压为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出为高电平,放电管V1截止。这时,电源经R1,R2对电容C充电,使电压按指数规律上升,当上升到(2/3)Vcc时,输出为低电平,放电管V1导通,把从(1/3)Vcc 上升到(2/3)Vcc由于放电管V1导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态,其维持时间的长短与电容的放电时间有关,随着C的放电,下降,当下降到(1/3)Vcc时,输出为高电平,放电管V1截止,Vcc再次对电容C充电,电路又翻转到第一暂稳态。

最新光电计数器的设计

光电计数器的设计

北京交通大学 海滨学院 课程设计题目:光电计数器的设计 专业:光电信息工程班级:光电1101 学号: 11141006 姓名:陈国营

摘要 光电计数器的设计可以对某项物件进行计数,计数物件速度可慢,可快,在实际生产生活中具有广泛的应用,对通过的物体进行计数,在生产流水线包装数量控制等领域的应用,既能节省劳动力有能高效地完成任务,其次它也是光电技术的基础。 在光电计数部分我们考虑到脉冲信号的稳定度、方便检测是否能够产生脉冲信号,因此在电压比较器和NE555之间我们选择了NE555,又要利用遮断式红外控制原理对通过的物件计数,为了感应良好,我们使红外发光管与光电接收管相对安放,同时为避免自然光线干扰引起的误计数,同时因实验室条件有限,在光电计数器工作时尽可能的让房间里没有自然光照射进来。本计数器可实现0~99的计数显示。 每当物件通过一次,红外光被遮挡一次,光电接收管的输出电压发生一次变化,这个变化的电压信号通过放大和处理后,形成计数脉冲,去触发一个十进制计数器,便可实现对物件的计数统计。 关键字:计数脉冲感应光电

本课程设计主要是对于电子信息类专业的学生两年多来的专业知识学习的一个考验以及应用,也是相关专业的同学们开启理论通向实践之门的金钥匙,具有十分重要的意义,既能锻炼同学们的动手操作能力,又能反映出同学们对于电子设备的熟练程度及对简单典型电路原理应用和了解。 本课程设计为光电计数器的设计。光电技术是一门得到迅猛发展的学科,已经渗透到许多的相关的科学领域,应用非常的广泛,而具有代表性的是半导体激光器的广泛应用,具有高量子效率的负电子亲和势。光电阴极的光电倍增管和第三代微光像增强器件的实用化,超大规模的CCD面阵的固体摄像器件已在工业和民用领域都得到了广泛应用,在热成像光电中的红外焦平面技术的应用等等。 本文所讨论的光电计数器是一种比较初级的利用光电感应信号发出的脉冲进行计数的一种简单光电系统。

余三码计数器

--底层设计实体计数器163 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity v74hc163 is port( clk,clr_l,ld_l,ctp,ctt : in std_logic; d: in unsigned (3 downto 0); --置数输入 q : out unsigned (3 downto 0); --计数输出 rco : buffer std_logic); --进位输出end v74hc163 ; architecture behave of v74hc163 is signal iq : unsigned (3 downto 0); begin process(clk, ctt, iq) begin if clk'event and clk='1' then if clr_l = '0' then iq <= (others =>'0'); --同步清0 elsif ld_l ='0' then iq<=d; --同步置数 elsif rco ='1' then iq <= d; --计数满重新计数 elsif (ctt and ctp) ='1' then iq<= iq+1 ; --计数end if; end if; if (iq=15) and (ctt='1') then rco<='1'; --计到15进位else rco<='0'; end if; q<=iq; end process; end behave ; --底层设计实体与非门 library ieee; use ieee.std_logic_1164.all; entity nand_gate is port ( a,b:in std_logic; nand_result:out std_logic); end nand_gate; architecture behave of nand_gate is begin nand_result<=not(a and b); end behave; --底层设计实体非门 library ieee; use ieee.std_logic_1164.all;

红外计数器

红外线客流计数器的工作原理 外线所发射的红外线属于一种电磁射线,其特性等同于无线电或X射线。人眼可见的光波是380nm-780nm,发射波长为780nm-1mm的长射线称为红外线,本公司生产的红外线客流计数器优先使用的是接近可见光波长的近红外线。 红外线计数器原理 红外线计数器工作时,由内部振荡回路产生的调制脉冲经反射电路后,由发射管辐射出光脉冲。当被测物体进入受光器作用范围时,被反射回来的光脉冲进入光敏二极管。并在接收电路中将光脉冲解调为电脉冲信号,再经放大器放大和同步选通整形,然后用数字积分或RC积分方式排除干扰,最后经延时(或不延时)触发驱动器输出客流计数信号。 红外线计数器原理 1.直接反射式红外客流计数器(我公司的CX-009A) 直接反射红外客流计数器是一种集发射器和接收器于一体的传感器,当有被检测人体经过时,将红外发射器发射的足够量的光线反射到接收器,于是计数器就产生了计数信号。当被检测物体的表面光亮或其反光率极高时,直接反射式的红外客流计数器是首选的计数器。 红外线计数器原理 2.反射板反射式红外客流计数器(我公司的CX-009A) 反射板反射式红外客流计数器亦是集发射器与接收器于一体,红

外发射器发出的光线经过反射板,反射回接收器,当被检测人体经过且完全阻断光线时,红外客流计数器就产生了检测计数人员信号。 红外线计数器原理 3.对射式红外客流计数器(我公司的CX-008) 对射式红外客流计数器包含在结构上相互分离且光轴相对放置的发射器和接收器,发射器发出的光线直接进入接收器。当被检测物体经过发射器和接收器之间且阻断光线时,红外客流计数器就产生了计数信号。当检测物体是不透明时,对射式红外客流计数器是最可靠的检测模式。(技术相当简单,很容易掌握)

六十进制计数器设计

六十进制计数器 设计报告 姓名: 学号: 班级:13电气工程1班 系别:自动化工程系 指导教师: 时间: 2015-1-10

目录 1.概述 (2) 1.1计数器设计目的 (3) 1.2计数器设计组成 (3) 2.六十进制计数器设计描述 (4) 2.1设计的思路 (6) 2.2设计的实现 (6) 3. 六十进制计数器的设计与仿真 (7) 3.1基本电路分析设计 (7) 3.2 计数器电路的仿真 (10) 4.总结 (13) 4.1遇到的问题及解决方法 (13) 4.2实验的体会与收获 (14)

◆1概述 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。 计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 1.1计数器设计目的 1)每隔1s,计数器增1;能以数字形式显示时间。 2)熟练掌握计数器的各个部分的结构。 3)计数器间的级联。 4)不同芯片也可实现六十进制。 1.2计数器设计组成 1)用两个74ls192芯片和一个与非门实现。 2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计 时。 3)本设计主要设备是两个74LS160同步十进制计数器,并且由200HZ, 5V电源供给。作高位芯片与作低芯片位之间级联。 4)两个芯片间的级联。 ◆2.六十进制计数器设计描述

红外光电计数器实验报告(DOC)

信息与电气工程学院 课程设计说明书(2015 /2016 学年第1 学期) 课程名称:小型数据设计 题目:红外线计数器 专业班级:计算机1401 学生姓名:何亚茹赵君王中昆 学号:140210122 140210107 140210121 指导教师:生龙 设计周数:二周 设计成绩: 2016年01月08日

目录 1 程序设计 (1) 2 课程设的主要内容 (1) 2.1设计的要求.............. . (1) 2.2创新方案及原理分析 (1) 2.3方案论证与选择 (2) 2.4软件的设计 (3) 3主要芯片设计 (4) 3.1介绍 (4) 3.2 51 单片机的特点 (5) 3.3数码管 (7) 4系统设计 (8) 4.1单片机最小设计系统 (8) 4.2红外线检测电路 (9) 4.3计数显示部分 (10) 4.4蜂鸣器报警电路 (10) 4.5按键控制电路 (11) 5 红外计数器程序设计 (11) 5.1主程序设计 (11) 5.2子程序设计 (13) 6总结 (15) 7参考文献 (16)

1、程设计目的 课利用AT89C51单片机来制作一个手动计数器。通过具体的项目设计包括确定控制任务、系统总体方案设计、硬件系统设计、控制程序的设计等,以便掌握单片机系统设计的总体思路和方法,掌握基于单片机控制的电子产品开发的技术方法,培养个人的创新意识和动手能力。 2、课程设计的主要内容 2.1设计的要求 1.利用AT89C51单片机来制作一个红外线计数器。有物体经过红外对管时计数一次。计数的范围是0~99, 计数满时,又从零开始计数。 2.整个系统有较强的抗干扰能力,具有报警能力。 3.将计数值准确显示出来。 2.2创新方案及原理分析 总体电路是由AT89C51单片机系统、红外光电管电路、蜂鸣器报警电路、数码管显示部分、复位电路部分组成,其结构如图2.1所示 图 2.1 整体方框图 红外传感器感受到外界信息时,产生高低电平,通过软件程序设置单片机内部寄存器,当传感器的高低脉冲被单片机接收到时,单片机产生中断,中断产生后进入中断服务程序,通过设置中断服务程序,进行计数。并通过P0 口将计数信息传送至数码管,数码管显示计数的个数。当电路断电后重新启动计数器时,系统自动复位(上电自动复位),以00开始重新计数。

基于单片机的计数器设计 (1)

百度文库- 让每个人平等地提升自我! - 1 - 湖南科技大学 单片机课程设计 题目基于单片机的计数器设计姓名李建雄 学院机电工程学院 专业测控技术与仪器 学号09030303 指导教师戴巨川 成绩 二〇一二年六月二日

百度文库- 让每个人平等地提升自我! - 2 - 摘要 本设计是根据我们所学习的单片机课程,按照课程要求进行的课程检验。单片 机技术是一个不可或缺的技术,尤其是对于我们测控技术与仪器专业来说它是我们必须要掌握的技能之一,使我们未来工作和生活的根本。现在的社会是一个信息科技高速发展的社会,也是一个电子技术和微机计算机迅速发展的时代,单片机的档次和水平在不断的提高,其应用的领域和范围也越来越广,成为现代电子系统中最重要的智能化核心部分。 随着计数器技术的不断发展与进步,计数器的种类越来越多,应用的范围越来越广,随之而来的竞争也越来越激烈。过硬的技术也成为众多生产厂商竞争的焦点之一。厂商为了在竞争中处于不败之地,从而不断地改进技术,增加产品的种类。 现计数器的种类以增加到:电磁计数器、电子计数器、机械计数器(拉动机械计数器、转动机械计数器、按动机械计数器、测长机械计数器)、液晶计数器等。 计数器的应用范围也遍布印刷、纺织、印染、针织、电缆、电讯、军工、轻工、机械、开关、断路器、矿山、实行多班制的纺织行业的织布机、织带机、制线、制带、造纸、制革、薄膜、高压开关电器产品、试验设备,印刷设备、短路器、医疗、纺织、机械、仓库和码头的货运、行人及车辆过往的数量计数、冶金、食品、国防、包装、配料、石油、化工、发电、机床、仪表、自动化控制等行业。

百度文库- 让每个人平等地提升自我! - 3 - 目录 2.2设计原理 (6) 数码管的介绍 ............................................................................................................................... - 11 -3.3电路仿真. (11)

可编程可逆计数器

自动化专业电子课程设计报告题目:可编程可逆计数器设计 姓名王振 学号0808020231 指导教师:廖晓纬 评阅成绩等次: 电气信息工程系 2010-2011 第二学期

摘要:本课程设计是基于Altera公司开发的QuartusⅡ软件进行的设计,利用QuartusⅡ设计软件的元件库所提供的集成器件来实现任意进制计数器的设计,此软件是学习EDA(电子设计自动化)技术的重要软件。其中硬件使用高性价比的FPGA/CPLD(元件可编程逻辑闸阵列/复杂可编程逻辑器件)器件,软件利用VHDL(超高速集成电路硬件描述语言)语言,计数器电路的功能取决于硬件描述语言对设计对象建模的描述,经过精心调试使可编程器件的芯片利用效率达到最优,较之以往的数字电路设计和单片机功能设计具有灵活简便的优势,特别是在对复杂计数器设计,可大大减少调试时间,优化系统设计。 关键词:EDA;任意进制计数器;QuartusⅡ;VHDL

目录 前言 (3) 一、设计的任务与要求 (4) 1.1 设计任务 (4) 1.2 设计要求 (4) 二、总体设计和系统框图 (4) 2.1计数器方案 (4) 2.2 数码管驱动显示方案 (4) 2.3 N进制设定设计方案 (5) 2.4电路系统总体设计 (5) 三、硬件设计 (6) 3.1计数器部分设计硬件连接方式 (6) 3.2 驱动译码部分设计 (7) 3.3进制输入部分设计 (7) 3.4整体电路部分 (7) 四、软件设计(系统仿真) (9) 4.1程序工作流程图 (9) 4.2 仿真步骤及结果 (10) 五、设计结果分析 (12) 5.1 系统能实现的功能 (12) 5.2 系统所选用软件及芯片型号 (12) 六、设计总结和体会 (12) 6.1设计总结 (12) 6.2设计的收获及体会 (12) 6.3 设计的完善 (13) 致谢 (13) 参考文献 (13) 程序代码 (14)

红外线自动计数器

红外线自动计数器设计 一.设计方案的选择 1、单片机的论证与选择 方案一:选择普通8051内核的ST89C51单片机,此单片机价格便宜,满足本设计要求,但已经停产故不宜选择。 方案二:选择加强型8051内核的STC89C2单片机,此单片机价格便宜,功能强大,完全满足本设计要求。 方案三:采用飞思卡尔公司生产的MC9S12XS128单片机,此单片机具有8路PWM,16路AD采集通道,2个UART,2个硬件SPI,具有背景调试功能,方便实时查瞧程序中全局变量的值,具有80个引脚,硬件资源相当丰富。但其价格相对较高。 综合以上三种方案,为了方便控制,节约成本,故我们选择方案二。 2、显示器件的选择 方案一:两位一体共阳数码管显示,数码管功耗低,价格便宜,显示清晰,完全符合本设计要求。 方案二:采用液晶屏1602显示,1602液晶也叫1602字符型液晶它就是一种专门用来显示字母、数字、符号等的点阵型液晶模块它有若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符。不过占用IO多,体积大,价格贵。 综合以上二种方案,为了减少硬件资源,节约成本,故我们选择方案一。 二.硬件设计 1、硬件总方案确定 依据检测原理与设计思想经过细致比较研究得到如下总体设计方案:

2、 LED指示灯 它就是半导体二极管的一种,可以把电能转化成光能;常简写为LED。发光二极管与普通二极管一样就是由一个PN结组成,也具有单向导电性。当给发光二极管加上正向电压后,从P区注入到N区的空穴与由N区注入到P区的电子,在PN结附近数微米内分别与N区的电子与P区的空穴复合,产生自发辐射的荧光。不同的半导体材料中电子与空穴所处的能量状态不同。当电子与空穴复合时释放出的能量多少不同,释放出的能量越多,则发出的光的波长越短。常用的就是发红光、绿光或黄光的二极管。发光二极管的反向击穿电压约5伏。它的正向伏安特性曲线很陡,使用时必须串联限流电阻以控制通过管子的电流。 3、三极管 半导体三极管又称“晶体三极管”或“晶体管”。在半导体锗或硅的单晶上制备两个能相互影响的PN结,组成一个PNP(或NPN)结构。中间的N区(或P区)叫基区,两边的区域叫发射区与集电区,这三部分各有一条电极引线,分别叫基极B、发射极E与集电极C,就是能起放大、振荡或开关等作用的半导体电子器件。在本设计中选择了PNP 三极管用来驱动蜂鸣器个双位数码管。 4、双位数码管 显示的种类很多,从液晶显示、发光二极管显示到CRT显示器等,都可以与微机连接。其中单片机应用系统最常用的显示就是发光二极管数码显示器(简称LED显示器)。液晶显示器简LCD。LED显示器价廉,配置灵活,与单片接口方便,LCD可显示图形,但接口较复杂成本也较高。 该电路使用双位7段LED构成字型“8”,另外还有一个发光二极管显示符号及小

计数器设计和原理

二.计数器设计 1.实验目的 计数器在数字逻辑设计中的应用十分广泛,可以对时钟信号进行计数,分频和产生序列信号,也可以用在计时器和串并转换等电路。这次实验我们就来学习一下如何用Robei和Verilog语言来设计一个4比特计数器。 2.实验要求 计数器对每个时钟脉冲进行技术,并将计数值输出出来。这个实验我们来设计一个4比特的计数器,其技术范围在0~F之间,也就是计数到最大值16. 设计波形要求如图1所示。 图1. 计数器输出波形要求 3.实验内容 3.1 模型设计 1)新建一个模型。点击工具栏上的图标,或者点击菜单“File”然后在下 拉菜单中选择“New”,会有一个对话框弹出来(如图2所示)。在弹出的对话框中设置你所设计的模型。

图2. 新建一个项目 参数填写完成后点击“OK”按钮,Robei就会生成一个新的模块,名字就是counter,如图3所示: 图3. 计数器界面图 2)修改模型。在自动生成的界面图上进行名称的修改,输入引脚为clock, enable 和reset,输出引脚修改成count。其中count引脚的“Datasize”为4比特,用户可以输入4,也可以输入3:0。为了区分每个引脚,我们可以修改每个引脚的Color值,并点回车保存。修改完成后如图4所示。如果选中模块,按“F1”键,就会自动生成一个Datasheet,如图5所示。

图4. 修改引脚属性 图5. “Datasheet”截图 3)输入算法。点击模型下方的Code(如图6所示)进入代码设计区。

图6. 点击Code输入算法 在代码设计区内输入以下Verilog代码: always @ (posedge clock) //学习always语句的写法,并设置敏感信号。时钟上升沿触发begin //学习Verilog if else语句的写法 if (reset == 1) begin count<= 0; end //if enable is 1, counter starts to count else if (enable == 1) begin count <= count + 1; end end 4)保存。点击工具栏图标,或者点击菜单“File”中的下拉菜单“Saveas”, 将模型另存到一个文件夹中。 5)运行。在工具栏点击或者点击菜单“Build”的下来菜单“Run”,执 行代码检查。如果有错误,会在输出窗口中显示。如果没有错误提示,恭喜,模型counter设计完成。 3.2测试文件设计

六十进制计数器综合设计

物理与机电工程学院(2015——2016 学年第一学期) 《计算机辅助电路设计》 综合设计报告 可编程计数器 专业:电子信息科学与技术学号:2014216041 姓名:张腾 指导教师:周佐

项目十七可编程计数器 一、设计目的及任务 1.1设计目的 掌握74LS90的功能原理;利用74LS90完成简单计数器电路设计。 每隔1s,计数器增1;能以数字形式显示时间。熟练掌握计数器的各个部分的结构。计数器间的级联。不同芯片也可实现六十进制。 1.2设计任务 利用两片74Ls90构成六十进制(0~59)计数器,并用Altium Designer 进行仿真。 二、原理及过程 2.1系统原理图 2.2原理分析 认识芯片: 74LS90计数器是一种中规模二-五-十进制异步计数器,管脚图如图所示。 R01、R02是计数器置0端,同时为1有效;R91和R92为置9端,同时为1时有效;若用A输入,QA输出,为二进制计数器;如B为输入,QB-QD可输出五进制计数器;将QA与B相连,A做为输入端,QA-QD输出十进制计数器;若QD与A输入端相连,B为输入端,电路为二-五混合进制计数器。

74LS90的功能表: 2.3理论分析 当接通电源,电路开始工作时,显示器显示从0开始依次递增到59,然后重新回到0再开始依次递增到59,如此反复,直到关掉电源。

三、系统仿真 3.1仿真原理图 3.2仿真结果图 3.3仿真步骤 1.按可编程计数器的原理图在Multisim中连接电路。 2.打开开关,开始仿真. 3.4仿真结果及分析 显示器可显示:00、01、02、03、04、05、06、07、08、09、1-、11、12、13、14、15、16、17、18、19、20、21、22、23、24、25、26、27、28、29、30、31、32、33、34、35、36、37、38、39、40、41、42、43、44、45、46、47、48、49、50、51、52、53、54、55、56、57、58、59。

基于51单片机红外计数器设计(毕业论文)

红外线自动计数器的设计 摘要 随着今社会的飞速发展,越来越多的流水线上的产品和各种公共场所 需要进行自动计数。基于单片机构成的产品自动计数器有直观和计数精确的优点,目前已在各种行业中得到广泛应用。数字计数器有多种形式,总体来说有接触式和非接触式两种,在科技发展的今天,非接触式红外计数器得到了广泛的应用。本设计采用一对红外发射接收管作为红外计数器的信号检测头,具有价格低廉,抗干扰性好,结构简单,操作方便等特点。 指导思想是利用红外发光管发射红外线,红外接收管接收此红外线,并将其放大、整流形成低电平信号.当有人或物挡住红外光时,接收管没有接收到红外信号,放大器将输出高电平,同时将这个电平信号送入单片机进行控制计数,并且使数码管显示数值。这样就得到要统计的人或物的数量。 关键字:自动计数;单片机;数码管

目录 第一章绪论 (4) 1.1、前言 (4) 1.2、选题背景 (4) 1.3、设计要求 (5) 1.4、国内外的研究概况 (5) 1.5、此次设计研究的主要内容应解决问题 (5) 第二章基于单片机构成的产品自动计数器的设计 (6) 2.1、方案论证与选择 (6) 2.2、系统总体框图和原理 (8) 2.3、系统单元电路设计 (9) 2.3.1、电源供电电路 (9) 1. 桥式整流电路: (10) 虑波电路分析 (11)

稳压电路 (12) 2.3.2、红外线检测部分 (13) 2.3.3、数码管显示部分 (14) 2.3.3.1、LED数码管的特点: (15) 2.3.3.2、数码管动态扫描...... 错误!未定义书签。 2.3.3.3、数码管驱动部分 (16) 2.3.3.4、单片机计数及控制部分 (17) 复位电路 (21) 复位电路的分类 (21) 3.4、系统程序设计 ................. 错误!未定义书签。 3.4.1、程序流程图............... 错误!未定义书签。 3.4.2、程序设计 ................... 错误!未定义书签。 3.5、全电路图 (23) 3.5.1、原理图 (23) 3.5.2、PCB板图 (24) 第三章结论 (24) 谢辞 (26)

红外计数器的设计

红外计数器的设计 0引言 随着人们生活水平的不断提高,人们越来越追求人性化的事物,计数器是不可缺少的必需品。本文所设计的计数器采用红外线遮光方式,抗干扰性好,可靠性高,可用于测量宾馆、饭店、商场、超市、博物馆、展览观、车站、码头、银行等场所的人员数量及人员流通数量。该产品应用广泛,也可以测量流水线上的产品数量,以及可检查产品有无缺损。因此,研究计数器及扩大其应用,有着非常现实的意义。 1红外技术的介绍 红外技术的内容包含四个主要部分:红外辐射的性质,其中有受热物体所发射的辐射在光谱、强度和方向的分布;辐射在媒质中的传播特性--反射、折射、衍射和散射;热电效应和光电效应等。红外元件、部件的研制,包括辐射源、微型制冷器、红外窗口材料和滤光电等。把各种红外元件、部件构成系统的光学、电子学和精密机械的组成部分。红外技术在军事上和国民经济中有着广泛的应用。 红外技术有很多应用,例如在夜晚可以用看见物体的红外线来确定物体的位置;用一个红外线发射器和一个红外线接收器在生产上可以计算商品的数量;军事上可以用来防止敌人侵入,当红外线发射器和接收器被挡住,警报就会响;在医学上还可以查看人的病情等等。 红外线计数器分为对射式和反射式两种电路。对射式红外线是一个发射头和一个接收头在中间如有物件通过就遮挡一下光线,输出脉冲信号触发计数电路;反射式红外线是把发射头和接收头做在一块成为一个红外探头,当探头前有一个物件出现就把发射头的红外线反射给接收头,探头输出一个脉冲给计数器计数。 本文所设计的计数器是采用红外线遮光方式,利用红外对射管作计数传感器,当有物体通过时光被遮挡住,接收模块输出一个高电平脉冲,对此脉冲进行计数,就可实现对产品的统计。基于这种光电检测原理,配合组成集成电路,就可设计对射式红外线计数器。实践证明,该装置抗干扰能力强, 红外计数器的设计 Design of Infrared Counter 杨汉祥张琦 Yang Hanxiang Zhang Qi (赣南师范学院物理与电子信息学院,江西赣州341000) (School of Physics and Electronic Information Science,Gannan Teachers'College,Jiangxi Ganzhou341000) 摘要:计数器在人们日常生活中应用越来越多,已成为不可缺少的必需品。本文介绍了红外计数器的发展前景和现状,设计了一种由数字电路来实现的红外计数器,详细阐述了红外计数器的工作原理和构成,讨论了各模块的实现方法,并对它们进行了严格的理论逻辑推敲和实验测试,以达到设计要求。它可以解决计数中的增减问题,对任意流水线产品及来往客流自动计数。因此,研究红外计数器及扩大其应用,有着非常现实的意义。 关键词:自动计数;红外检测;8位数码管 中图分类号:TP368.4文献标识码:B文章编号:1671-4792-(2009)7-0187-04 Abstract:Counters are widely used in our daily life and gradually become a necessity.Here we introduce the current status and al-so the future of infrared counters,then present a design on top of digital circuit.And the working theory and construct are described and implementation of each module is discussed here.Strict logical reasoning and real experiments have approved each step is correct in the process which have fulfilled the design specifications.To summarize,infrared counters can solve increase/decrease problem in count-ing,such as automatic counting for industrial pipelining and traffic.Therefore,the study of infrared counter and expanding its applica-tions make very practical sense in above terms. Keywords:Automatic Counting;Infrared Detection;8-bit Digital Tube 187

计数器设计

目录 1前言 (1) 2设计任务及方案论证 (2) 2.1设计任务 (2) 2.2方案论证 (2) 3电路设计原理 (3) 3.1设计任务及要求 (3) 3.2设计方案 (3) 3.3单元模块 (4) 3.3.1清零电路的设计 (4) 3.3.2光电报警电路的设计 (4) 3.3.3脉冲发生器的设计 (5) 3.3.40~999计数器的设计 (6) 3.3.5译码器的设计 (7) 4电路板的制作及电路焊接与调试 (10) 4.1电路板的制作 (10) 4.2电路的安装 (11) 4.3电路测试 (11) 5设计总结 (13) 参考文献 (14) 鸣谢 (15) 附录一原理图 (16)

1前言 计数器是最常用的时序电路之一,它们不仅可用于对脉冲进行计数,还可用于分频、定时、产生节拍脉冲以及其他时序信号。 计数器的种类不胜枚举,按触发器动作分类,可分为同步计数器和异步计数器;按计数数值增减分类,可分为加计数器、减计数器和可逆计数器;按编码分类,可分为二进制计数器、BCD码计数器、循环码计数器。此外,有时也按计数器的计数容量来区分,例如本设计就是采用十进制计数器74LS160进行设计的。计数器的容量也称为模,一个计数器的状态数等于其模数。 目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。只要使用者借助于器件手册的功能表和工作波形图以及引脚的排列,就能正确地运用这些器件设计出自己想要的电路。

2设计任务及方案论证 2.1设计任务 利用数字集成电路(如:74LS160、161、90、290、390、48等)设计一个电子计数器。 2.2方案论证 在设计中采用两个74LS00与非门以及单刀双掷开关等组成脉冲发生电路,此电路不仅能满足按键输入计数方式而且还有去抖功能;计数设计电路中采用74LS160来完成计数功能;通过控制MR、PE、CET、CEP端可实现计数、清零、启动、暂停等功能;而通过74LS48译码器对计数器结果译码并驱动数码管,使数码管显示脉冲发生器产生的脉冲个数,当计数溢出时及计数到999由光电报警电路报警。 综上:该设计不仅能完成设计要求而且精确、经济,所以此设计方案可行。

学习情境3数字钟电路设计与调试习题答案

思考与练习题3 1.基础知识部分 选择题 1.一个触发器可记录一位二进制代码,它有(C)个稳态。 .1 C Q=Q,应使输入D=(C )。 2.对于D触发器,欲使n+1n .1 C D.Q 3.对于JK触发器,若J=K,则可完成(C )触发器的逻辑功能。 ˊ Q=Q工作,可使JK触发器的输入端( A )。 4.欲使JK触发器按n+1n =K=0 =Q,K=Q =Q,K=Q =Q,K=0 =0,K=Q Q=Q工作,可使JK触发器的输入端(A )。 5.欲使JK触发器按n+1n =K=1 =Q,K=Q =Q,K=Q =Q,K=1 =1,K=Q 6.同步计数器和异步计数器比较,同步计数器的显著优点是(A )。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制 7.下列逻辑电路中为时序逻辑电路的是( C )。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 8. N个触发器可以构成最大计数长度(十进制数)为(D )的计数器。 C. 2n D. n2 9.同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 10.一位8421BCD码计数器至少需要( B )个触发器。 .4 C 11.具有记忆和存储功能的电路属于时序逻辑电路,故 A 、B 、 D 电路是时序逻辑电路。 a. 触发器; b. 寄存器; c. 多位加法器; d. 计数器; e. 译码器; f. 数据选择器12.脉冲整形电路有 C 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器定时器

课程设计:六十进制计数器的设计

一、实验目的 1.进一步掌握VHDL语言中元件例化语句的使用 2.通过本实验,巩固利用VHDL语言进行EDA设计的流程 二、实验原理 1.先分别设计一个六进制和十进制的计数器,并生成符号文件2.利用生成的底层元件符号,设计六十进制计数器顶层文件 三、实验步骤 (略) 四、实验结果

六进制计数器源程序cnt6.vhd: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE. STD_LOGIC_UNSIGNED.ALL; ENTITY CNT6 IS PORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC; D: IN STD_LOGIC_VECTOR(3 DOWNTO 0); Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); COUT: OUT STD_LOGIC); END CNT6; ARCHITECTURE ONE OF CNT6 IS SIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000"; BEGIN PROCESS(CLK, CLRN, ENA, LDN) BEGIN IF CLRN='0' THEN CI<="0000"; ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CI<=D; ELSIF ENA='1' THEN IF CI<5 THEN CI<=CI+1; ELSE CI<="0000"; END IF; END IF; END IF; Q<=CI; END PROCESS; COUT<= NOT(CI(0) AND CI(2)); END ONE;

相关主题
文本预览
相关文档 最新文档