当前位置:文档之家› 例说信号处理与滤波器设计

例说信号处理与滤波器设计

例说信号处理与滤波器设计
例说信号处理与滤波器设计

例说信号处理与滤波器设计

目录

数字时代 (2)

数字信号处理的应用 (3)

频率——信号的指纹 (5)

卷积可以不卷 (8)

向量运算的启示 (11)

滤波器设计征程 (16)

最后一击——滤波的实现方法 (22)

纵览全局 (27)

数字时代

信号处理是对原始信号进行改变,以提取有用信息的过程,它是对信号进行变换、滤波、分析、综合等处理过程的统称。数字信号处理是将信号以数字方式表示并处理的理论和技术;模拟信号处理是指用模拟系统对模拟信号进行处理的方法或过程。

数字信号处理课程的主要内容包括信号分析与处理。两者并不是孤立的,不同的信号处理方法往往需要选择不同的信号表示形式。两者的区别主要表现在,信号处理是用系统改变输入信号,以得到所期望的输出信号,如信号去噪;而信号分析往往是通过变换(傅里叶变换、小波变换等),或其它手段提取信号的某些特征,如语音信号的基本频率,图像的直方图等。

早期的信号处理局限于模拟信号,随着数字计算机的飞速发展,信号处理的理论和方法得以飞速发展,出现了不受物理制约的纯数学的加工,即算法,并确立了数字信号处理的领域。现在,对于信号的处理,人们通常是先把模拟信号变成数字信号,然后利用高效的数字信号处理器(DSP:Digital Signal Processor)或计算机对其进行数字形式的信号处理。

一般地讲,数字信号处理涉及三个步骤:

(1)模数转换(A/D转换):把模拟信号变成数字信号,是一个对自变量和幅值同时进行

离散化的过程,基本的理论保证是采样定理。

(2)数字信号处理(DSP):包括变换域分析(如频域变换)、数字滤波、识别、合成等。

(3)数模转换(D/A转换):把经过处理的数字信号还原为模拟信号。通常,这一步并不

是必须的。

图1数字信号处理基本步骤

数字信号处理的应用

图2家庭影院(视+听)

高分辨率图像、高保真音质

图3语音识别

噪声环境下高识别率

图4图像增强

更清晰、美观

图5无人驾驶

高度智能、安全

图6医学成像

更高效、更精确的成像结果

狭义地讲,信号处理可以统称为滤波,根据不同的要求,选用不同性能的滤波器。在数字信号处理应用中,设计合适的滤波器至关重要。什么是数字滤波器呢?数字滤波器就是数字信号处理(Digital Signal Processing)算法,或者是数字信号处理器件(Digital Signal Processor)。什么是数字信号处理算法呢?我们需要借助基本的数学工具和方法。

首先回到信号处理的根本目的:用滤波器改变输入信号,以期得到理想的输出信号,如果输入信号用[]x n 表示,输出信号用[]y n 表示,则数字信号处理或滤波可用如下框图表示:

数字滤波器

图7数字信号滤波图示

上述框图并没有给出数字信号处理算法的具体实现方法,但提供了数字信号处理的基本数学思想:通过系统将[]

x n和[]

y n进行关联。在数学上描述x和y之间关系的手段有很多,如

函数()

y f x

=,方程组=

Ax y等,从数学角度来理解,如果()

f?为不同映射,即使同样的自变量x,也会得到不同的因变量y;如果系数矩阵A不同,同样的x经变换之后,将得到不同的y。在数字信号处理课程中,描述输入输出信号关系的基本数学工具是差分方程:

[][]

00

Q P

k k

k k

a y n k

b x n k

==

-=-

∑∑(1) 令()

max,

N P Q

=,上述差分方程可写为

[][]

00

N N

k k

k k

a y n k

b x n k

==

-=-

∑∑(2) 如果仅局限于基础(经典)的数字信号处理算法研究,全部内容都是围绕这个常系数线性差分方程(Constant Coefficient Linear Difference Equation,CCLDE)展开的。那么,我们需要研究CCLDE什么呢?很显然,我们可以将CCLDE看成一个系统,它描述了输入[]

x n和输出[]

y n之间的关系。哪些参数决定这个系统的性能呢?方程中除了[]

x n和[]

y n,还有三个参数:方

程阶数N,系数

k

a和

k

b——数字滤波器设计的根本任务就是确定这三个参数——目标非常明确!!!

从何下手呢?如何判定所设计的滤波器符合预期呢?迷茫中ing…

频率——信号的指纹

烈日当空,窗外的知了热得撕心裂肺地吼叫

知了声.wav

声音特点:又大又尖,怎叫人不心烦意乱?!

大:能量大,或者信号幅度大(声压级超过120dB即达到痛阈);

尖:频率高,即知了的叫声中包含了丰富的高频成分,因此听起来很“刺耳”。

图8知了声的频谱图(横坐标:频率(Hz);纵坐标:幅度(dB))

图9听觉曲线

图10声音频率范围

可见,幅度和频率是声音信号的主要参数。诸如“低音炮”、“高音喇叭”、“男低音”和“女高音”都是从幅度和频率去描述声音信号的,因此,分析信号的频率特性是信号处理领域的重要内容。

分析信号的频率特性无非就是想知道信号包含了哪些频率成分,各频率成分的大小是多少。傅里叶分析完美地解决了这个问题。在信号与系统课程中,我们通常将傅里叶分析分为傅里叶级数和傅里叶变换,前者用来对付周期信号,后者用来处理非周期信号,但无论是傅里叶级数,还是傅里叶变换(当然,傅里叶级数也可以纳入到傅里叶变换体系中),它们的原理或宗旨都一样:将一般的信号分解为基本信号的线性组合 (连续周期信号) ()0jk t

k

k x t a e

ω+∞

=-∞

=∑

(3) (离散非周期序列)

[]()2

1

2j

j n

x n X e e d ωω

πωπ

=

?

(4)

以式(3)为例,等式左边是一般的(满足收敛条件的)周期信号,而右边则是频率为0k ω、幅度为k a 的虚指数信号0

jk t e ω的线性组合。

现在可以谈论一下滤波的概念了。假设式(3)中()x

t 就是知了发出的“嗞哇嗞哇……”没完没了的周期信号,现在我们想把烦人的高频成分去掉,最直观的想法就是需要设计一个滤

波器,这个滤波器可以让较低频率的信号顺利通过,同时又能阻止较高频率的信号,这就是所谓的低通滤波器。

卷积可以不卷

再回到常系数线性差分方程(2),参数N ,k a 和k b 完全决定了方程所描述的系统的所有

特性。什么?难道与输入[]x n 和输出[]y n 没关系?对,没有关系!电阻是一个系统,其阻值仅与自身的材料及结构有关,虽然有关系式()()/R v t i t =,事实上,即使两端没有电压,电阻依然存在;再比如理想的线性放大电器,它的增益仅取决于内部结构,而与输入输出无关,但为了测量放大器的增益(放大倍数),我们可以在输入端接入幅度为i 的信号,然后测量输出信号的幅度o ,这样就可以得到放大倍数o

g i

=

,更特殊地,如果取1i =,此时输出信号的幅度就是放大器的增益,即g o =。类似的概念推广到CCLDE 描述的LTI 系统,我们如何获得这个系统的特性呢?输入——输出描述法,即令输入[]x n 取某种特殊值时,计算(或测量)系统的输出[]y n 。那么,什么样的[]x n 算特殊呢?单位脉冲!

[]1,0

0,0n n n δ=?=?

≠?

(5)

也就是说,令[][]x n n δ=,此时系统的输出就是所谓的单位脉冲响应[]h n 。再与放大器的例子对比一下:输入信号幅度1i =,输出信号的幅度o 就是放大倍数(放大器的重要指标,从应用者角度而言,其实就是唯一关心的指标)。我们有理由相信,对于CCLDE 描述的LTI 系统,得到了单位脉冲响应[]h n ,就能够掌握系统的全部特性(因果性、稳定性、频率选择性等)。何以见得呢?之后将逐步解答。

现在,我们假设已经知道了LTI 系统的单位脉冲响应[]h n ,对于任意输入[]x n ,如何求

系统的输出[]y n 呢?其实就是求滤波后的信号。首先,我们要建立已知和需求之间的联系。

已知的是[][]LTI n h n δ??

→,需求是[][]LTI

?x n y n ??→=。

LTI

系统

图11单位脉冲响应

事实上,任意序列[]x n 很容易用[]n δ的移位、加权的线性组合来表示:[][][]k x n x k n k δ+∞

=-∞

=

-∑。

图12序列的单位脉冲表示

结合系统的线性和时不变性,有

[][][][]

[][][][][][][][][][]

LTI

k k n h n n k h n k x k n k x k h n k x n x k n k y n x k h n k δδδδ+∞

+∞

=-∞

=-∞

??→-??→--??→-=

-??→=-∑∑已知:时不变性:齐次性:线性时不变性:

(6)

式(6)中最后一个等式[][][]k y n x k h n k +∞

=-∞

=

-∑就是卷积和,记作[][][]*y n x n h n =,表明LTI 系

统的(零状态)响应[]y n 是单位脉冲响应[]h n 的移位、加权、求和。这句话也描述了求[]y n

的步骤。根据图11,我们可以假想有这样的一个LTI 系统——出钞机:如果你今天往出钞机投

币口(输入端)投币一元,它将在接下来的三天,每一天都会从出币口(输出端)吐出一元。试问:如果某个月的1、3、4日,你分别投了2、2、3元,那么,出钞机每天的输出是多少元呢?不妨用图来说明一下。

LTI

系统

0 1 2 3 4 5

3

2

==

0 1 2 3 4 5

3

2

0 1 2 3 4 5

3

2

0 1 2 3 4 5

3

2

0 1 2 3 4 5 6 7

3

2

0 1 2 3 4 5 6

3

2

0 1 2 3 4 5

3

2

0 1 2 3 4 5 6 7

3

2

5

4

输入响应

图13卷积和的图解

图解结果告诉我们,出钞机在2-7日分别吐出2,2,4,5,5,3元。图解的过程包含了乘积与求和(“积”与“和”),但并有体现出“卷”(翻转)这一操作。如果只想求某一天(比如5日)出钞口吐出多少钱,此时就要用另一种方法,即许多教材中描述的步骤: (1) 变量替换:[][][][],x n x k h n h k →→ (2) 将[]x k 或[]y k 翻转 (3) 移位、相乘、相加

以上步骤包含了“卷积和”所有的操作(卷——翻转;积——相乘;和——相加)。

图解过程是根据线性时不变系统的定义导出的一种结果,是系统特性的直接反映。卷积和是信号与系统、数字信号处理中最重要的公式之一,它描述了LTI 系统输入[]x n 、输出[]y n 以及单位脉冲响应[]h n 之间的关系,已知三者中的任何两个,就可以确定第三者,于是就有以下应用场合:

(1) 已知输入[]x n 和系统单位脉冲响应[]h n ,求输出[]y n ,即用确定的系统对输入信号滤

波处理;

(2) 已知输出[]y n 和系统单位脉冲响应[]h n ,求输入[]x n ; (3) 已知输入[]x n 和输出[]y n ,求系统单位脉冲响应[]h n 。

现在,我们将注意力集中到卷积和公式上来,公式重写如下

[][][]k y n x k h n k +∞

=-∞

=

-∑

(7)

卷积和只包含了移位、数乘和相加运算,看来,数字信号处理的计算十分简单。如果再上升一个层次,卷积和能很直观解释系统如何改变输入信号的吗?从图13的结果很难看出系统是如何将[]x n 变成[]y n 的,而且也很难说明这种变化意味着什么,因此,卷积和在解释系统行为特性时很不直观,这是卷积和运算本身决定的。

向量运算的启示

了解运算背后的物理或几何含义对理解问题的本质至关重要。例如向量的乘法和加法:设两个向量11A x iy =+ ,22B x iy =+

,向量的加法符合平行四边形法则,从图14很容易看出

两个向量相加的几何意义,而相应的代数运算也很简单——对应分量相加即可,即有

()()1212C A B x x i y y =+=+++

(8)

但如果要求两个向量的乘法呢?代数运算的结果是

()()

()()

11221212211212121221D A B x iy x iy x x ix y ix y y y x x y y i x y x y ==++=++-=-++

(9)

相比两个向量的加法,向量的乘法运算要复杂一些,更重要的是,根据运算结果,我们很难

看清向量乘法的几何意义。如果将向量A 和B

用极坐标表示,情况又会如何呢?设

()()1

2

221112,,j j A e B e

θθθρρθρρ====

(10)

则两个向量的乘法

()()12121212,j D A B e θθρρθθρρ+==?+=

(11)

计算简单,几何意义明确——模相乘,相位相加。

x

图15向量乘法的极坐标表示

向量运算的例子告诉我们,选择不同的坐标系,不仅影响运算的复杂度,在解释运算的

几何意义时也各有千秋。上例告诉我们,如果是向量的加法,直角坐标系比极坐标系方便;如果是向量的乘法,很显然,极坐标系比直角坐标系方便。

回到数字信号处理的话题,卷积和是让无数人困惑的公式,然而,它又是经典数字信号

处理算法的根源。既然卷积和运算就是滤波,我们如何评判滤波的效果呢?从什么角度理解系统的滤波行为更好呢?

再想想知了的叫声,之所以烦人,是因为包含了大量的高频分量。看来,用频率这一参

数来解释信号特性很符合人的直观感觉。我们有更好的方式来洞悉卷积和的物理意义吗?能不能站在频(率)域的角度来解释卷积和呢?当然可以,因为我们有卷积定理(性质):

[][][]

()()()

*j j j y n x n h n Y e X e H e ωωω== 离散时间傅里叶变换 (12)

通过傅里叶变换,将时域中的卷积和运算换成了频域中的相乘运算。那么频域中的相乘运算有什么好处呢?不要忘了,我们主要是为了直观解释滤波的行为和特性。

图16是一段滤波前知了声[]x n 的时域波形,单从图形上看,似乎很难说出这一段信号

的特点,运用傅里叶变换,得到[]x n 的幅度谱()j X e ω如图17所示,很明显,该图说明信号[]x n 包含了丰富的高频成分。现在,我们希望设计一个滤波器,可以滤除2500Hz 以上的频率分量,目的是仅保留红框内的频率分量,因此要求滤波器的频率响应(幅频响应)是

()1,250000,c j f H e ωωππ

<=??=???

其它

(13)

滤波器的幅频特性如图18所示,截止频率2500c f =Hz 。由卷积定理可知,输出信号的幅度谱为

()()()j j j Y e X e H e ωωω=

(14)

从图形上来看就更直观了:图17与图18相乘,得到了滤波后信号的幅度谱,滤波前、后信号频谱的变化一目了然,很显然,它是按照滤波器频率响应()j H e ω所规定的形状去改变的。而对比滤波前、后的时域波形,很难解释信号的什么特性被改变了。 在上述的讨论中,我们只关注幅度谱,实际中,相位谱也必须考虑,更完整的表达式为

()()()

()()()()()()j j j j j j j j j Y e X e H e Y e X e H e Y e X e H e ωωωωωωωωω?

=?

?=?

=+?? (15)

对比极坐标系下两个向量的乘法,能找到相似之处吗?——模相乘、相位相加。如果将卷积和[][][]*y n x n h n =看作直角坐标系下两个向量的乘法,那么()()()j j j Y e X e H e ωωω=就类似于极坐标系下两个向量的乘法——运算简单、物理意义直观。[通过向量乘法运算的例子,我们可以看到,向量的表示方式起到了关键性的作用;同样地,信号也有不同的描述方式,如时域、频域、复频域,不同的表示方法都是为了使分析问题更简单、物理意义更明确]

图16滤波前知了声[]x

n 时域波形

X eω(红框是希望保留的低频分量) 图17滤波前知了声的幅度谱()j

H eω(蓝色线)

图18低通滤波器幅频特性()j

Y eω

图19滤波后知了声的幅度谱()j

图20滤波后知了声[]y n 时域波形

滤波器设计征程

借助系统的频率响应()j H e ω,可以很方便地解释滤波器的特性(主要是指频率选择性)。

有什么定量指标来描述频率选择性吗?通常有四个指标——横坐标两个,纵坐标两个。以低通滤波器为例来说明。如图21所示,横坐标两个指标为通带截止频率p ω和阻带截止频率s ω,纵坐标两个指标为通带衰减p A 和阻带衰减s A 。

1

图21数字低通滤波器指标

通常而言,滤波器设计就是指根据应用的需求,提出合理的滤波器指标(通带截止频率

和衰减,阻带截止频率和衰减),然后借助滤波器设计工具得到滤波器参数(系数)。滤波器系数?没听说过啊,是什么东西?其实我们早见过面了,就是差分方程(2)中的k

a 和k

b !早就说

过,数字滤波器设计要不忘初心,根本任务就是确定这三个参数——方程(2)的阶数N ,系数k a 和k b 。

如何建立起滤波器指标和滤波器系数之间的联系呢?很显然,滤波器的指标约束着其频

率响应()j H e ω,而()j H e ω与CCLDE 的阶数N ,系数k a 和k b 直接相关。傅里叶变换又该出场了。对差分方程(2)两边取傅里叶变换得

()()0

N

N

jk j jk j k k k k a e

Y e

b e

X e ω

ω

ω

ω--===∑∑

(16)

从而可得频率响应

()()()0

N

jk j k j k N

j jk k k b e

Y e H e X e

a e

ω

ωωω

ω

-=-==

=

∑∑

(17)

易见,频率响应()j H e ω完全由N ,k a 和k b 确定。综上可知,滤波器设计就是如何根据滤波器指标求解滤波器系数的过程,从数学角度来看,就是函数逼近和数值优化的过程。经典滤波器设计理论发展较完备(巴特沃斯、切比雪夫I 、切比雪夫II 、椭圆……,各自的特点是什么?更多内容,请点这里),许多教科书都有详细讨论,此处不再赘述,我们的重点是要借助现代化的设计工具,轻松完成设计任务并实施滤波处理。此处仅以MATLAB 工具为例,并通过两种方式来实现滤波器设计——脚本代码和可视化方法。

需求:设计一个低通滤波器来处理知了声,通带截止频率2000p F =Hz ,通带衰减0.1

p A =dB ,阻带截止频率2500s F =Hz ,通带衰减50p A =dB 。

乍一看,这些指标与图21中的指标完全不同,实际需求的指标往往同本例,频率指标为模拟频率,衰减指标以dB 为单位,而设计数字滤波器时,往往需要数字频率指标。如何进行指标转换呢?直接用公式计算24000p p F ωππ==,25000s s F ωππ==吗?图21告诉我们,无论通带截止频率,还是阻带截止频率都不会超过π。难道最大的数字角频率就是π吗?为什么?这个问题同样令许多人困惑。如何衡量数字频率的大小呢?先考虑一个简单的数字信号:[]()cos x n n ω=,针对ω取不同的值,分别画出对应的波形如下:

图22()cos n ω波形图

振荡得越厉害,意味着频率越高,这是符合常理的。上图中的9个波形,哪个振荡得最厉害呢?第二排中间那个——+1和-1交替,而此时ωπ=。而且还发现,0ω=和2ωπ=的波形一样,并不是ω的值越大,振荡得越快。如果是连续时间信号()()()cos 2cos x t ft t π==Ω,大家都知道,随着Ω的增大,波形会振荡得越快。从连续时间信号到离散时间信号,是什么原因导致两者出现如此大的差异呢?通过对采样过程的探索,我们便可理解其中的奥妙。设以时间间隔s T 对()()cos x t t =Ω采样,这样便得到 ()()()cos cos s s x nT nT n ω=Ω=

(18)

因此有

/s s T f ω=Ω=Ω (19)

其中1/s s f T =为采样频率,Ω为模拟角频率,ω为数字角频率。结论是:数字频率是模拟频率关于采样频率的归一化。

根据Nyquist 采样定理,当采样频率大于信号最高频率2倍时,可以由样点完全恢复原

来的信号。我们不妨假设信号的最高频率正好是采样频率的一半,即max /2s f f =,对应的最高模拟角频率max max 2s f f ππΩ==,代入式(19)得

max max //s s s f f f ωππ=Ω==

(20)

这也证明了为什么最高数字角频率为π。(如果不满足Nyquist 采样条件又会怎样呢?事实上

并不影响结论成立)。关于各种频率之间的关系的讨论,可以参考这里(注意文中“fdtool 工具中归一化频率为什么最大只到0.5的原因”,应改为“最大只到1的原因”。)

再回到滤波器设计题目,第一步,需要将模拟频率指标转换为归一化数字频率指标,转

换公式是

2/()s

f f ωπ=

(21)

很显然,我们需要知道采样频率s f 。知了声的采样频率11025s f =Hz 。将模拟频率指标代入式(21)计算出对应的归一化数字频率指标

2/22000/110250.362/22500/110250.45

p p s s s s F f F f ωω==?≈==?≈ (22)

这样,我们就得到了数字域的四个指标:,,,p p s s A A ωω。如何从这四个指标去计算滤波器系数呢?有现成的公式套用,即许多教科书上所说的各类滤波器原型。我们选择椭圆型滤波器为例。MATLAB 代码如下: clc; close all

fs=11025;

%采样频率(Hz) Fp = 2000; %通带截止频率(Hz) Fs = 2500; %阻带截止频率(Hz) Ap = 0.1;

%通带衰减(dB)

As = 50;

%阻带衰减(dB) wp=2*Fp/fs; %归一化通带截止频率 ws=2*Fs/fs;

%归一化阻带截止频率

[N,Wp]=ellipord(wp,ws,Ap,As); %确定带通滤波器的阶数和截止频率 [b,a]=ellip(N,Ap,As,Wp); %确定滤波器系数

[h,w]=freqz(b,a);

%求数字带通滤波器的频率响应

%以下为绘图命令,绘制带通滤波器的幅频响应 figure;

plot(w*fs/(2*pi),20*log10(abs(h)/max(abs(h)))); axis([0,fs/2,-100,0]);

title('数字低通滤波器的幅度响应'); xlabel('频率(Hz)');

ylabel('幅度(dB)'); grid

滤波器系数

a = [1 -2.98876196757509 5.41154092244290 -6.18465137960809 4.94650037623018 -2.65349822687766 0.903727225595414 -0.150115115698030]

b = [0.0204237714181223 0.0185281305134523 0.0518202070683316

0.0515988082549051 0.0515988082549052 0.0518202070683315

0.0185281305134523 0.0204237714181222]

分别对应式(2)中的k a 和k b ,得到了这两个参数,滤波器设计基本上算完成了。

如果你掌握了滤波器设计的基本理论,但又不想写代码,你可以利用FDATool 轻松完成

滤波器设计的全过程。

在命令窗口输入:fdatool ,回车,就可以看到下图所示的界面:

滤波器设计步骤及实现程序

数字滤波器的设计步骤及程序实现 湖南理工学院信息与通信工程学院 一、IIR 脉冲响应不变法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=pi, T /ω=Ω 3、求原型模拟滤波器的c N Ω,,其中:??? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/)()( 6、将)(s H a 化为部分分式展开形式∑-=k k a s s A s H )( 7、写出)(z H 的极点T s k k e z =,并写出)(z H 的部分分式展开形式∑--?= 11)(z z A T z H k k 8、将)(z H 化为分子分母形式,验证设计结果。 二、IIR 双线性变换法设计步骤 1、已知实际数字指标as s ap p ,,,ωω 2、将数字指标化为原型模拟指标As s Ap p ,,,ΩΩ,可设T=2, 2 tan 2ω?= ΩT 3、求原型模拟滤波器的c N Ω,,其中:?? ? ???ΩΩ--=)/lg(2)]110/()110lg[(10/10/s p A A s p N N A p cp p 210 /1 10 -Ω= Ω N A s cs s 210 /1 10 -Ω= Ω ][cs cp c ΩΩ∈Ω, 4、根据N 写出归一化原型系统函数)(p G a 5、用c s p Ω=/代入得原型系统函数c s p a a p G s H Ω==/) ()( 6、用11 112--+-?=Z Z T s 代入原型系统函数)(s H a 得1 1 112)()(--+-? ==Z Z T s a s H z H 8、将)(z H 整理成分子分母形式,验证设计结果。

数字信号处理滤波器的设计

《数字信号处理》课程设计报告 设计课题滤波器设计与实现 专业班级电信1101班 姓名 学号 201105 报告日期2013年12月

《数字信号处理》 课程设计任务书 题目滤波器设计与实现 学生姓名甘源滢学号201105020103 专业班级电信1101班 设计内容与要求一、设计内容: 设计一个模拟低通巴特沃斯滤波器,技术指标:通带截止频率1000rad,通带最大衰减1dB;阻带起始频率5000rad,阻带最小衰减30dB,画出其幅度谱和相位谱。 二、设计要求 1 设计报告一律按照规定的格式,使用A4纸,格式、封面统一给出模版。 2 报告内容 (1)设计题目及要求 (2)设计原理(包括工作原理、涉及到的matlab函数的说明) (3)设计内容(设计思路,设计流程、仿真结果) (4)设计总结(收获和体会) (5)参考文献 (6)程序清单 起止时间2013年12 月16日至2013年12月23 日指导教师签名2013年12月10日系(教研室)主任签名2013年12 月12 日学生签名2013年12月13日

目录 1课题描述 (1) 1.1报告介绍 (1) 2设计原理 (2) 2.1巴特沃斯低通模拟滤波器的设计原理 (2) 2.2低通巴特沃斯滤波器的设计步骤如下 (3) 2.3函数说明 (3) 2.3.1buttord函数 (3) 2.3.2butter函数 (4) 2.4模拟低通滤波器的性能指标 (4) 3设计内容 (5) 3.1MATLAB简介 (5) 3.2巴特沃斯滤波器的设计步骤 (6) 3.3对巴特沃斯低通模拟滤波器的仿真 (6) 4实验结果分析 (7) 5实验心得体会 (7) 6程序清单 (8) 7参考文献 (9) 1.课题描述 1.1报告介绍 模拟滤波器的理论和设计方法已经发展的相当成熟,且有多种典型的滤波器供我们选择,如巴特沃斯(butterworth)滤波器,切比雪夫(chebyshev)滤波器,椭圆(ellipse)滤波器,贝塞尔(bessel)滤波器等。这些滤波器都有着严格的设计公式,现成的曲线和图表供设计人员使用,而且所设计的系统函数都满足电路实现条件。这些典型的滤波器各有特点:巴特沃斯滤波器具有单调下降到幅频特性;切比雪夫滤波器的幅频特性在带通或者阻带有等波纹特性,可以提高选择性;贝塞尔滤波器通带内有较好的线性相位特性;椭圆滤波器的选择性相对前三种是

数字信号处理-低通滤波器设计实验

实验报告 课程名称:数字信号处理 实验名称:低通滤波器设计实验 院(系): 专业班级: 姓名: 学号: 指导教师: 一、实验目的: 掌握IIR数字低通滤波器的设计方法。 二、实验原理: 2.1设计巴特沃斯IIR滤波器 在MATLAB下,设计巴特沃斯IIR滤波器可使用butter 函数。 Butter函数可设计低通、高通、带通和带阻的数字和模拟IIR滤波器,其特性为使通带内的幅度响应最大限度地平坦,但同时损失截止频率处的下降斜度。在期望通带平滑的情况下,可使用butter函数。butter函数的用法为:

[b,a]=butter(n,Wn)其中n代表滤波器阶数,W n代表滤波器的截止频率,这两个参数可使用buttord函数来确定。buttord函数可在给定滤波器性能的情况下,求出巴特沃斯滤波器的最小阶数n,同时给出对应的截止频率Wn。buttord函数的用法为:[n,Wn]= buttord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 2.2契比雪夫I型IIR滤波器。 在MATLAB下可使用cheby1函数设计出契比雪夫I 型IIR滤波器。 cheby1函数可设计低通、高通、带通和带阻契比雪夫I 型滤IIR波器,其通带内为等波纹,阻带内为单调。契比雪夫I型的下降斜度比II型大,但其代价是通带内波纹较大。cheby1函数的用法为:[b,a]=cheby1(n,Rp,Wn,/ftype/)在使用cheby1函数设计IIR滤波器之前,可使用cheblord 函数求出滤波器阶数n和截止频率Wn。cheblord函数可在给定滤波器性能的情况下,选择契比雪夫I型滤波器的最小阶和截止频率Wn。cheblord函数的用法为: [n,Wn]=cheblord(Wp,Ws,Rp,Rs)其中Wp和Ws分别是通带和阻带的拐角频率(截止频率),其取值范围为0至1之间。当其值为1时代表采样频率的一半。Rp和Rs分别是通带和阻带区的波纹系数。 三、实验要求: 利用Matlab设计一个数字低通滤波器,指标要求如下:

一个简单功放设计制作与电路图分析

一个简单功放设计制作与电路图分析|电路图 - dickmoore的日志 - 网易博客 默认分类 2009-11-09 19:01 阅读32 评论0 字号:大中小 一个简单功放设计制作与电路图分析|电路图 电子资料 2009-11-06 11:15 功放电路图 一个简单功放设计制作与电路图分析 我的电脑音响坏了快一年了,每次看电影都用耳机,每次用的耳朵都痛,很不爽.因此就想亲手做一个小功放用用,前几天又去了趟电子市场发现有LM386,很便宜,所以干脆用386做了一个单声道的功放先用着,有时间把另外一个声道也加上.在这里把功放设计到调试基本完成的过程写写,纪念这个过程. 1.设计 我们是听听就算的门外汉,对20~20K的音域也不是完全敏感.所以幅频特性不用考虑太多,但是自己要用得爽声音一定要大,因此LM386一般的输出功率肯定是不够拉(好像极限功率也就1W左右,具体还是看芯片资料吧),所以就浪费些多加个LM386做成BTL电路,提高一倍再说.设计出来的电路就是这个样子,原理很简单,就不说了 2.调试 a. 两个104的电容本来是用来隔直的,不过好像电脑主板和声卡上出来的音频都不带直流成份,而且用104时输入电平 比较高的时候声音有失真,(估计是低频过滤在输入电平高的时候人听起来比较明显).于是去掉两个104的电容. b. 在这个时候上电(我用的是12V),接上我的MP3一听,嗯!还不错,可是就是杂声比较厉害,调了调R1的大小,当R1被 调到最大的时候杂声没有了,最小的时候也没有了(这不是废话么,最小的时候输入都没有了 .把连接到功放的音频线拔了也没杂音了,原因可能有两个音频线上有电容在输入电阻R1比较小的时候,和LM386自激产生杂音,一放大就不得了了.于是决定R1就直接调到50K,音量就让MP3调去吧. c. 好像一切都没有问题了,拿到电脑上吧,刚接上去,嗯声音停大,不错!!刚以为要完事,电脑里一首歌就放完了,本来该是安静的却听见喇叭里噼噼啪啪,这个噪声奇了怪了,开始还是以为是R1的问题,索性就把R1去掉(反正LM386也不希罕从前级得到能量),噪音仍然存在,怀疑是主板上的高频噪声,于是在输入端并上一个102的电容---不起作用.这个电容也不敢并大了,大了要影响高频特性.又怀疑是功率大了C1吃不消,于是又在电源上并了一个100uF的电容,还是不行....... d. 就在这个时候用手一抓我的功放输入端的焊点,好了!没杂音了,仔细一想,原来是这样:我从电脑接出来的线是一个声

IIR数字滤波器的设计流程图讲课讲稿

目录 目录 0 前言 (1) 1.1数字滤波器简介 (1) 1.2使用数字滤波器的原因 (1) 1.3设计的原理和内容 (1) 工程概况 (2) 正文 (2) 3.1 设计的目的和意义 (2) 3.2 目标和总体方案 (2) 3.3 设计方法和内容 (3) 3.4 硬件环境 (3) 3.5软件环境 (3) 3.6IIR数字滤波器设计思路 (3) 3.7 IIR数字滤波器的设计流程图 (3) 3.8 IIR数字滤波器设计思路 (4) 3.9设计IIR数字滤波器的两种方法 (4) 3.10双线性变换法的基本原理 (5) 3.11用双线性变换法设计IIR数字滤波器的步骤 (6) 3.12程序源代码和运行结果 (6) 3.12.1低通滤波器 (6) 3.12.3带通滤波器 (10) 3.12.4带阻滤波器 (13) 3.13结论 (15) 3.13.1存在的问题 (15) 3.13.2解决方案 (16) 致谢 (16)

参考文献 (16) 前言 1.1数字滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。可以设计系统的频率响应,让它满足一定的要求,从而对通过该系统的信号的某些特定的频率成分进行过滤,这就是滤波器的基本原理。如果系统是一个连续系统,则滤波器称为模拟滤波器。如果系统是一个离散系统,则滤波器称为数字滤波器。 信号通过线性系统后,其输出信号就是输入信号和系统冲激响应的卷积。从频域分析来看,信号通过线性系统后,输出信号的频谱将是输入信号的频谱与系统传递函数的乘积。除非为常数,否则输出信号的频谱将不同于输入信号的频谱,某些频率成分较大的模,因此,中这些频率成分将得到加强,而另外一些频率成分的模很小甚至为零,中这部分频率分量将被削弱或消失。因此,系统的作用相当于对输入信号的频谱进行加权。 1.2使用数字滤波器的原因 数字滤波器具有比模拟滤波器更高的精度,甚至能够实现后者在理论上也无法达到的性能。数字滤波器相比模拟滤波器有更高的信噪比。数字滤波器还具有模拟滤波器不能比拟的可靠性。根据其冲击响应函数的时域特性可将数字滤波器分为IIR(有限长冲击响应)和FIR(无限长冲击响应)。 1.3设计的原理和内容 在windows环境下进行语言信号采集,通过IIR数字滤泼器的设计,数字带滤波器就是用软件来实现上面的滤波过程,可以很好的克服模拟滤波器的缺点,数字带滤波器的参数一旦确定,就不会发生变化。IIR型有较好的通带与阻带特性,所以,在一般的设计中选用IIR 型。IIR型又可以分成Butterworth型滤波器,ChebyshevII型滤波器和椭圆型滤波器等。 IIR数字滤波器的设计一般是利用目前已经很成熟的模拟滤波器的设计方法来进行设计,通常采用模拟滤波器原型有butterworth函数、chebyshev函数、bessel函数、椭圆滤波器函数等。 IIR数字滤波器的设计步骤: (1)按照一定规则把给定的滤波器技术指标转换为模拟低通滤波器的技术指标; (2)根据模拟滤波器技术指标设计为响应的模拟低通滤波器; (3)很据脉冲响应不变法和双线性不变法把模拟滤波器转换为数字滤波器;

数字信号处理滤波器

1.设计物理可实现的低通滤波器 设计思路:因为要设计FIR有限脉冲响应滤波器,通常的理想滤波器的单位脉冲响应h是无限长的,所以需要通过窗来截断它,从而变成可实现的低通滤波器。程序如下: clc;clear all; omga_d=pi/5; omga=0:pi/30:pi; for N=3:4:51; w1= window(@blackman,N); w2 = window(@hamming,N); w3= window(@kaiser,N,2.5); w4= window(@hann,N); w5 = window(@rectwin,N); M=floor(N/2); subplot(311);plot(-M:M,[w1,w2,w3,w4,w5]); axis([-M M 0 1]); legend('Blackman','Hamming','kaiser','hann','rectwin'); n=1:M; hd=sin(n*omga_d)./(n*omga_d)*omga_d/pi; hd=[fliplr(hd),1/omga_d,hd]; h_d1=hd.*w1';h_d2=hd.*w2';h_d3=hd.*w3';h_d4=hd.*w4';h_d5=hd.*w5'; m=1:M; H_d1=2*cos(omga'*m)*h_d1(M+2:N)'+h_d1(M+1); H_d2=2*cos(omga'*m)*h_d2(M+2:N)'+h_d2(M+1); H_d3=2*cos(omga'*m)*h_d3(M+2:N)'+h_d3(M+1); H_d4=2*cos(omga'*m)*h_d4(M+2:N)'+h_d4(M+1); H_d5=2*cos(omga'*m)*h_d5(M+2:N)'+h_d5(M+1); subplot(312);plot(omga,[H_d1,H_d2,H_d3,H_d4,H_d5]); legend('Blackman','Hamming','kaiser','hann','rectwin'); subplot(313);plot(abs([fft(h_d1);fft(h_d2);fft(h_d3);fft(h_d4);fft(h_ d5)])'); pause(); end 程序分析: 整个对称窗的长度为N,然而为了在MATLAB中看到窗函数在负值时的形状需将N变为它的一半,即为2M+1个长度。窗长设置为从3开始以4为间隔一直跳动51。则长度相同的不同窗函数在时域[-M,M]的形状如第一个图所示。 对窗函数进行傅里叶变换时,将零点跳过去先构造一个一半的理想滤波器的脉冲响应hd,再将零点位置求导得出的数赋值进去。将生成的hd左右颠倒形成了一个理想的滤波器的脉冲响应。将构造的理想滤波器的脉冲响应依次与之前定义的窗函数相乘,相乘出来的为列向量,用转置将其变成行向量,形成的h_d就是非理想的低通滤波器的脉冲响应序列。因为h_d为对称奇数长度序列,它的DTFT 可以是二倍的离散余弦变化,而零点的位置则直接带入求出,两者相加则是H_d。则第二个图表示的是五个矩阵向量在频域的变化,而第三个图表示的是五个非理想低通滤波器的傅里叶变换,图三FFT给出的结果永远是对称的,因为它显示

《简单电路的设计》教案

《综合实践活动----简单电路的设计》教学设计 常州金坛市华罗庚实验学校顾雪松 一、教学目标 1.知识与技能 (1)了解简单电路在生活中应用的实例. (2)会根据串联、并联电路的特点,分析简单电路的结构. 2.过程与方法 通过简单电路模型的设计、制作,培养学生的动手能力和创新精神. 3.情感、态度和价值观 (1)使学生勇于钻研的精神、善于观察、敢于思考. (2)通过合作探究培养学生相互合作的团队精神和科学探究欲望,体验克服困难、利用已有知识探究未知世界的成功喜悦. (3)关爱长辈、遵守交规. 二、教学重、难点 1.教学重点:根据生活中的现象,设计电路图,病房呼叫模拟电路设计. 2.教学难点:异地双控(楼道灯电路)模拟电路设. 3.重、难点的突破方法: (1)创设情景、激发兴趣. (2)由浅入深,层层推进. (3)学生相互讨论、学生动手实验. (4)实验演示和类比. 三、教学器材 电源(干电池两节)、两个开关、一个电铃(蜂鸣器)、两只灯泡和导线若干. 四、设计思想:充分体现了“从生活到物理,从物理到社会”的新教材教学理念. 五、教法和学法 教法——采用“主体参与”教学模式,由学生分组进行实验探究. 学法——以合作模式的科学探究、交流讨论. 六、主要教学环节 (一)引入: 小明和妈妈一起去买电动玩具“调皮的小鸟”,老师把电动玩具“调皮的小鸟”展示给同学们看,并提出问题:当“调皮”的小鸟在上升的过程中,它的重力势能是如何变化的? A、减小 B、增大 请一个学生用选答器给出答案。由此引出“设计选答器模型” (创设物理情境,从生活走向物理,这一环节设计的目的是为了激发学生学习的兴趣.) (二)学生活动 1.项目1:设计选答器模型:一个问题有两个可选择的答案(a)和(b),与它们对应的灯分别由两个开关控制,选择哪一个答案就闭合哪一个开关,使对应的灯发光. 思考:(1)灯与灯之间应(串/并)联. (2)两个开关分别与两灯(串/并)联.

数字滤波器设计步骤

数字信号处理 数字滤波器的设计 学院计算机与电子信息学院 专业电子信息科学与技术班级电子15-2 班姓名学号 指导教师刘利民

数字滤波器的设计 一、模拟低通滤波器的设计方法 1、B utterw orth 滤波器设计步骤: ⑴。确定阶次N ① 已知Ωc 、Ωs 和As 求Bu tt er worth DF 阶数N ② 已知Ωc 、Ωs 和Ω=Ωp (3dB p Ω≠-)的衰减A p 求Bu tterwort h DF 阶数N ③ 已知Ωp、Ωs和Ω=Ωp 的衰减A p 和As 求B utte rwo rth DF 阶数N /10 /1022(/)101,(/)101p s A A N N p c s c ΩΩ=-ΩΩ=-则:

⑵.用阶次N 确定 ()a H s 根据公式: 1,2,2N ()()a a H s H s -在左半平面的极点即为()a H s 的极点,因而 2,,N 2、切比雪夫低通滤波器设计步骤: ⑴.确定技术指标p Ω p α s Ω s α 归一化: /1p p p λ=ΩΩ= /s s p λ=ΩΩ ⑵.根据技术指标求出滤波器阶数N 及ε: 0.12 10 1δε=- p δα= ⑶.求出归一化系统函数 其中极点由下式求出:

或者由N 和S直接查表得()a H p 二、数字低通滤波器的设计步骤: 1、 确定数字低通滤波器的技术指标:通带截止频率p ω、通带最大衰减系数 p α、 阻带截止频率ω、阻带最小衰减系数s α。 2、 将数字低通滤波器的技术指标转换成模拟低通滤波器的技术指标。 巴特沃斯: 切比雪夫:/s s p λ=ΩΩ 0.1210 1δ ε=- p δα=

数字信号处理实验一 IIR数字滤波器设计及软件实现

实验一 IIR数字滤波器设计及软件实现 一、实验目的 (1)熟悉用双线性变换法设计IIR数字滤波器的原理与方法; (2)学会调用MATLAB信号处理工具箱中滤波器设计函数(或滤波器设计分析工具fdatool)设计各种IIR数字滤波器,学会根据滤波需求确定滤波器指标参数。 (3)掌握IIR数字滤波器的MATLAB实现方法。 (3)通过观察滤波器输入输出信号的时域波形及其频谱,建立数字滤波的概念。 二、实验原理 设计IIR数字滤波器一般采用间接法(脉冲响应不变法和双线性变换法),应用最广泛的是双线性变换法。基本设计过程是:①先将给定的数字滤波器的指标转换成过渡模拟滤波器的指标;②设计过渡模拟滤波器;③将过渡模拟滤波器系统函数转换成数字滤波器的系统函数。MATLAB信号处理工具箱中的各种IIR数字滤波器设计函数都是采用双线性变换法。第六章介绍的滤波器设计函数butter、cheby1 、cheby2 和ellip可以分别被调用来直接设计巴特沃斯、切比雪夫1、切比雪夫2和椭圆模拟和数字滤波器。本实验要求同学调用如上函数直接设计IIR数字滤波器。 本实验的数字滤波器的MATLAB实现是指调用MATLAB信号处理工具箱函数filter对给定的输入信号x(n)进行滤波,得到滤波后的输出信号y(n)。 三、实验内容及步骤 (1)调用信号产生函数mstg,产生由三路抑制载波调幅信号相加构成的复合信号st,该函数还会自动绘图显示st的时域波形和幅频特性曲线,如图1-1所示。由图可见,三路信号时域混叠无法在时域分离。但频域是分离的,所以可以通过滤波的方法在频域分离,这就是本实验的目的。

图1-1 三路调幅信号st 的时域波形和幅频特性曲线 (2)要求将st 中三路调幅信号分离,通过观察st 的幅频特性曲线,分别确定可以分离st 中三路抑制载波单频调幅信号的三个滤波器(低通滤波器、带通滤波器、高通滤波器)的通带截止频率和阻带截止频率。要求滤波器的通带最大衰减为0.1dB,阻带最小衰减为60dB 。 提示:抑制载波单频调幅信号的数学表示式为 0001()cos(2)cos(2)[cos(2())cos(2())]2 c c c s t f t f t f f t f f t ππππ==-++ 其中,cos(2)c f t π称为载波,f c 为载波频率,0cos(2)f t π称为单频调制信号,f 0为调制正弦波信号频率,且满足0c f f >。由上式可见,所谓抑制载波单频调幅信号,就是2个正弦信号相乘,它有2个频率成分:和频0c f f +和差频0c f f -,这2个频率成分关于载波频率f c 对称。所以,1路抑制载波单频调幅信号的频谱图是关于载波频率f c 对称的2根谱线,其中没有载频成分,故取名为抑制载波单频调幅信号。容易看出,图1-1中三路调幅信号的载波频率分别为250Hz 、500Hz 、1000Hz 。如果调制信号m(t)具有带限连续频谱,无直流成分,则()()cos(2)c s t m t f t π=就是一般的抑制载波调幅信号。其频谱图是关于载波频率f c 对称的2个边带(上下边带),在专业课通信原理中称为双边带抑制载波 (DSB-SC) 调幅信号,简称双边带 (DSB) 信号。如果调制信号m(t)有直流成分,则()()cos(2)c s t m t f t π=就是一般的双边带调幅信号。其频谱图是关于载波频率f c 对称的2个边带(上下边带),并包含载频成分。

常见的信号处理滤波方法

低通滤波:又叫一阶惯性滤波,或一阶低通滤波。是使用软件编程实现普通硬件RC 低通滤波器的功能。 适用范围:单个信号,有高频干扰信号。 一阶低通滤波的算法公式为: Y(n)X(n)(1)Y(n 1)αα=+-- 式中: α是滤波系数;X(n)是本次采样值;Y(n 1)-是上次滤波输出值;Y(n)是本次滤波输出值。 滤波效果1: 红色线是滤波前数据(matlab 中生成的正弦波加高斯白噪声信号) 黄色线是滤波后结果。 滤波效果2:

matlab中函数,相当于一阶滤波,蓝色是原始数据(GPS采集到的x(北)方向数据,单位m),红色是滤波结果。 一阶滤波算法的不足: 一阶滤波无法完美地兼顾灵敏度和平稳度。有时,我们只能寻找一个平衡,在可接受的灵敏度范围内取得尽可能好的平稳度。

互补滤波:适用于两种传感器进行融合的场合。必须是一种传感器高频特性好(动态响应好但有累积误差,比如陀螺仪。),另一传感器低频特性好(动态响应差但是没有累积误差,比如加速度计)。他们在频域上互补,所以进行互补滤波融合可以提高测量精度和系统动态性能。 应用:陀螺仪数据和加速度计数据的融合。 互补滤波的算法公式为: 1122Y(n)X (n)(X (n)Y(n 1))αα+=+-- 式中:1α和2α是滤波系数;1X (n)和2X (n)是本次采样值;Y(n 1)-是上次滤 波输出值;Y(n)是本次滤波输出值。 滤波效果 (测试数据): 蓝色是陀螺仪 信号,红色是加 速度计信号,黄 色是滤波后的 角度。

. 互补滤波实际效果: .

卡尔曼滤波:卡尔曼滤波器是一个“optimal recursive data processing algorithm (最优化自回归数据处理算法)”。对于解决很大部分的问题,它是最优,效率最高甚至是最有用的。他的广泛应用已经超过30年,包括机器人导航,控制,传感器数据融合甚至在军事方面的雷达系统以及导弹追踪等等。近来更被应用于计算机图像处理,例如头脸识别,图像分割,图像边缘检测。 首先,用于测量的系统必须是线性的。 (k)(k 1)(k)(k)X AX BU w =-++ (k)(k)(k)Z HX v =+ (k)X 是系统k 时刻的状态,(k)U 是系统k 时刻的控制量。(k)Z 是系统k 时 刻的测量值。A 和B 为系统参数,(k)w 和(k)v 分别表示过程和测量的噪声,H 是测量系统参数。 在进行卡尔曼滤波时: 首先进行先验预测: (k 1|k)(k |k)(k)(k)X AX BU w +=++ 计算先验预测方差: '(k 1|k)(k |k)(k)P AP A Q +=+ 计算增益矩阵: (k 1)(k 1|k)'/((k 1|k)'(k 1))Kg P H HP H R +=++++ 后验估计值: (k 1|k 1)(k 1|k)(k 1)(Z(k 1)(k 1|k))X X Kg HX ++=++++-+ 后验预测方差: (k 1|k 1)(1(k 1))(k 1|k)P Kg H P ++=-++ 其中,(k)Q 是系统过程激励噪声协方差,(k)R 是测量噪声协方差。 举例说明: (下文中加粗的是专有名词,需要理解) 预测小车的位置和速度的例子(博客+自己理解):

简单电路图的设计过程

电路原理图的绘制方法与步骤 一.电路原理图绘制前的准备工作 1.设计电路原理图的草图 例如要画出图1所示的稳压电源的电路图,首先要画出电路图的草图。 2.电路图有关资料的整理、列表 为了方便快捷地画出电路原理图,首先必须将电路图中所有零件的名称、拟采用的编号、零件的类型以及元件封装进行整理,列出表格,如表1所示。 二、Protel 99 SE 的启动 在Windows 桌面上,将鼠标的指示箭头对准图2所示的Protel 99 SE 图标, 双击鼠标左键,启动Protel 99 SE 。 启动Protel 99 SE 后,屏幕会出现图3所示的界面。 图2 Protel 99 SE 图标 图1 稳压电源电路图

几秒钟后,Protel 99 SE 的启动界面消失,留下了Protel 99 SE 的初始操作界面,如图4所示: 三、进入电路原理图设计环境 1.启动电路原理图编辑器 (1)创建工程设计数据库FirstDesign.ddb : 启动Protel 99 SE 后,打开File 菜单,选择New 命令,则弹出的题目为New Design Database 的对话框,在Design Storage Type 栏内,选择设计数据库的格式为MS Access Database ;在Databass Location 框中指定设计数据库存放的位置为:C :\Design Explorer 99se\\Examples ;在Databass File Name 文本框中输入数据库的名称FirstDesign.ddb 。单击OK 按钮,完成设计数据库的创建。 标题栏 菜单栏 工具条 设计管理面板 设计工作区 图4 Protel 99 SE 的操作界面 图6 图2 Protel 99 SE 的启动界面

数字信号处理实验——维纳滤波器设计..

实验一 维纳滤波 1. 实验内容 设计一个维纳滤波器: (1) 产生三组观测数据,首先根据()(1)()s n as n w n =-+产生信号()s n ,将其加噪,(信噪比分别为20,10,6dB dB dB ),得到观测数据123(),(),()x n x n x n 。 (2) 估计()i x n ,1,2,3i =的AR 模型参数。假设信号长度为L ,AR 模型阶数为N ,分析实验结果,并讨论改变L ,N 对实验结果的影响。 2. 实验原理 滤波目的是从被噪声污染的信号中分离出有用的信号来,最大限度地抑制噪声。对信号进行滤波的实质就是对信号进行估计。滤波问题就是设计一个线性滤波器,使得滤波器的输出信号()y n 是期望响应()s n 的一个估计值。下图就是观测信号的组成和信号滤波的一般模型。 观测信号()()()x n s n v n =+ 信号滤波的一般模型 维纳滤波解决从噪声中提取信号的滤波问题,并以估计的结果与真值之间的误差均方值最小作为最佳准则。它根据()()(),1, ,x n x n x n m --估计信号的当前 值,它的解以系统的系统函数()H z 或单位脉冲()h n 形式给出,这种系统常称为最佳线性滤波器。 维纳滤波器设计的任务就是选择()h n ,使其输出信号()y n 与期望信号()d n 误差的均方值最小。

假设滤波系统()h n 是一个线性时不变系统,它的()h n 和输入信号都是复函数,设 ()()()h n a n jb n =+ 0,1, n = 考虑系统的因果性,可得到滤波器的输出 ()()()()()0 *m y n h n x n h m x n m +∞ ===-∑ 0,1, n = 设期望信号()d n ,误差信号()e n 及其均方误差()2 E e n ???? 分别为 ()()()()()e n d n y n s n y n =-=- ()()()()()()22 2 0m E e n E d n y n E d n h m x n m ∞=?? ????=-=--????? ????? ∑ 要使均方误差为最小,需满足: ()() 2 0E e n h j ?????=? 整理得()()0E x n j e n *??-=??,等价于()()0E x n j e n * ??-=?? 上式说明,均方误差达到最小值的充要条件使误差信号与任一进入估计的输入信号正交,这就是正交性原理。 将()()0E x n j e n * ??-=??展开,得 ()()()()00m E x n k d n h m x m +∞ *** =????--=?? ???? ?∑ 整理得 ()()()0 dx xx m r k h m r m k +∞ *=-=-∑ 0,1,2, k = 等价于()()()()()0 dx xx xx m r k h m r k m h k r k +∞ ==-=*∑ 0,1,2, k = 此式称为维纳-霍夫(Wiener-Holf )方程。解此方程可得到最优权系数 012,,, h h h ,此式是Wiener 滤波器的一般方程。 定义

FIR数字滤波器设计与软件实现(精)讲解学习

实验二:FIR 数字滤波器设计与软件实现 一、实验指导 1.实验目的 (1掌握用窗函数法设计 FIR 数字滤波器的原理和方法。 (2掌握用等波纹最佳逼近法设计 FIR 数字滤波器的原理和方法。 (3掌握 FIR 滤波器的快速卷积实现原理。 (4学会调用 MA TLAB 函数设计与实现 FIR 滤波器。 2. 实验内容及步骤 (1认真复习第七章中用窗函数法和等波纹最佳逼近法设计 FIR 数字滤波器的原理; (2调用信号产生函数 xtg 产生具有加性噪声的信号 xt ,并自动显示 xt 及其频谱,如图 1所示;

图 1 具有加性噪声的信号 x(t及其频谱如图 (3请设计低通滤波器,从高频噪声中提取 xt 中的单频调幅信号,要求信号幅频失真小于 0.1dB ,将噪声频谱衰减 60dB 。先观察 xt 的频谱,确定滤波器指标参数。 (4根据滤波器指标选择合适的窗函数,计算窗函数的长度 N ,调用 MATLAB 函数 fir1设计一个 FIR 低通滤波器。并编写程序,调用 MATLAB 快速卷积函数 fftfilt 实现对 xt 的滤波。绘图显示滤波器的频响特性曲线、滤波器输出信号的幅频特性图和时域波形图。 (5 重复 (3 , 滤波器指标不变, 但改用等波纹最佳逼近法, 调用MA TLAB 函数 remezord 和 remez 设计 FIR 数字滤波器。并比较两种设计方法设计的滤波器阶数。 提示:○ 1MA TLAB 函数 fir1的功能及其调用格式请查阅教材; ○ 2采样频率 Fs=1000Hz,采样周期 T=1/Fs;

○ 3根据图 1(b和实验要求,可选择滤波器指标参数:通带截止频率 fp=120Hz,阻带截 至频率 fs=150Hz, 换算成数字频率, 通带截止频率 p 20.24 p f ωπ =T=π, 通带最大衰为 0.1dB , 阻带截至频率 s 20.3 s f ωπ =T=π,阻带最小衰为 60dB 。 3、实验程序框图如图 2所示,供读者参考。 图 2 实验程序框图 4.信号产生函数 xtg 程序清单(见教材 二、滤波器参数及实验程序清单 1、滤波器参数选取 根据实验指导的提示③选择滤波器指标参数: 通带截止频率 fp=120Hz,阻带截至频率 fs=150Hz。代入采样频率 Fs=1000Hz,换算成 数字频率,通带截止频率 p 20.24 p f

简单电路设计设计大全

装饰材料购销合同 简单电路设计设计大全 1.保密室有两道门,只有当两道门都关上时(关上一道门相当于闭合一个开关),值班室内的指示灯才会发光,表明门都关上了.下图中符合要求的电路是 2.小轿车上大都装有一个指示灯,用它来提醒司机或乘客车门是否关好。四个车门中只要有一个车门没关好(相当于一个开关断开),该指示灯就会发光。下图为小明同学设计的模拟电路图,你认为最符合要求的是 3.中考试卷库大门控制电路的两把钥匙分别有两名工作人员保管,单把钥匙无法打开,如图所示电路中符合要求的是 ”表示)击中乙方的导电服时,电路导通,4.击剑比赛中,当甲方运动员的剑(图中用“S 甲 乙方指示灯亮。下面能反映这种原理的电路是 5.家用电吹风由电动机和电热丝等组成,为了保证电吹风的安全使用,要求:电动机不工作时,电热丝不能发热;电热丝发热和不发热时,电动机都能正常工作。如图所示电路中符合要求的是( )

6.一辆卡车驾驶室内的灯泡,由左右两道门上的开关S l、S2和车内司机右上方的开关S3共同控制。S1和S2分别由左右两道门的开、关来控制:门打开后,S1和S2闭合,门关上后,S l和S2断开。S3是一个单刀三掷开关,根据需要可将其置于三个不同位置。在一个电路中,要求在三个开关的共同控制下,分别具有如下三个功能:(1)无论门开还是关,灯都不亮; (2)打开两道门中的任意一道或两道都打开时,灯就亮,两道门都关上时,灯不亮;(3)无论门开还是关,灯都亮。如图所示的四幅图中,符合上述要求的电路是 A.图甲 B.图乙 C.图丙 D.图丁 7.教室里投影仪的光源是强光灯泡,发光时必须用风扇给予降温。为了保证灯泡不被烧坏,要求:带动风扇的电动机启动后,灯泡才能发光;风扇不转,灯泡不能发光。则在如图3所示的四个电路图中符合要求的是 ( ) 8.一般家用电吹风机都有冷热两挡,带扇叶的电动机产生风,电阻R产生热。冷热风能方便转换,下面图3中能正确反应电吹风机特点的电路图是 ( ) 9.飞机黑匣子的电路等效为两部分。一部分为信号发射电路,可用等效电阻R1表示,用开关S1控制,30天后自动断开,R1停止工作。另一部分为信息存储电路,可用等效电阻R2表示,用开关S2控制,

模拟信号运算电路和信号处理电路例题

第7章 模拟信号运算电路 1、(10分)写出下面电路中o1U 、o2U 及o U 与输入电压i1U 、i2U 、i3U 的关系式。 o U R U U 3 R U 解:⑴ A1:反相输入求和电路;A2:电压跟随器;A3:差分输入求和电路。(3分) ⑵ 22 31131I I O u R R u R R u ?-?- =………………(2分) 32I O u u =………………(2分) ()35 6252631516312563I I I O O O u R R u R R R R u R R R R u u R R u ?+?+?=-?= ………………(3分) 2、(10分)理想运放组成的电路如下图所示,试分别指出A1、A2和A3各构成什么基本电路,并写出O1 u 、O2u 和O u 与输入信号I1u 和I2u 的关系式。 O u 解:⑴ A1:同相输入比例电路;A2:求和电路;A3:电压跟随器电路。

3 311167212 465 6712 4615 211()()O I O O I I I O O R u u R R R u u u R R R R R R u u R R R R u u =+ =-+ =+-+= 3、(15分)如下图所示,设所有运放为理想器件。其中Ω=k 41R ,Ω==k 652R R , 7324k R R ==Ω,89101110k R R R R ====Ω,Ω=k 10012R ,μF 1=C 。V 6.0i1=U ,V 4.0i2=U ,V 1i3-=U 。 ⑴ 写出o1U 、o2U 及o3U 与输入电压i1U 、i2U 、i3U 的关系式;(9分) ⑵ 设电容的初始电压值为2V ,求使输出电压V 6o -=U 所需要的时间t 。(6分) o R U U R U 解:⑴ 电压表达式 V V U R R U R R U i i o 2.54.06246.04242231131-=??? ???+?-=??? ? ???+?-=(反相输入求和电路) V V U R R U i o 51624113572 -=-???? ??+=????? ? ?+=(同相比例电路) ()()V V U U R R U o o o 2.052.510 1021810 3=+--=-- =(差分比例电路) ⑵ 积分时间 333601212102002010010110.()()t o o o t U U U d U U t V R C R C ττ-?? =-+=-?+=-- ?????? ? ()22t V =-- 令()226o U t V V =--=-,得出所需要的积分时间为:

滤波器设计MATLAB

数字信号处理

第一章概述 《数字信号处理》课程是通信专业的一门重要专业基础课,是信息的数字化处理、存储和应用的基础。通过该课程的课程设计实践,使我们对信号与信息的采集、处理、传输、显示、存储、分析和应用等有一个系统的掌握和理解,巩固和运用在《数字信号处理》课程中所学的理论知识和实验技能,掌握数字信号处理的基础理论和处理方法,提高分析和解决信号与信息处理相关问题的能力,为以后的工作和学习打下基础。 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数学处理来达到频域滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR)滤波器和有限冲激响应(FIR)滤波器。 其中,设计IIR数字滤波器一般采用间接法(脉冲响应不变法和双线性变换法),应用

最广泛的是双线性变换法。 我们在课本中学到基本设计过程是: ①先将给定的数字滤波器的指标转换成过渡模拟滤波器的指标; ②设计过渡模拟滤波器; ③将过渡模拟滤波器系统函数转换成数字滤波器的系统函数。 而MATLAB信号处理工具箱中的各种IIR数字滤波器设计函数都是采用双线性变换法。第六章介绍的滤波器设计函数butter、cheby1 、cheby2 和ellip可以分别被调用来直接设计巴特沃斯、切比雪夫1、切比雪夫2和椭圆模拟和数字滤波器。 第二章总体方案设计 首先我将所给信号用MATLAB作图分析,然后通过观察st的幅频特性曲线,确定用高通滤波器作为处理信号的滤波器。选取滤波器的通带最大衰减为0.1dB,阻带最小衰减为60dB 为参数。 然后通过编程序调用MATLAB滤波器设计函数ellipord和ellip设计椭圆滤波器;通过编程序调用函数cheb1ord和cheby1设计切比雪夫滤波器,并绘图显示其幅频响应特性曲线。最后使用用滤波器实现函数filter,用两个滤波器分别对信号st进行滤波后绘图显示时域波形,观察滤波效果。 实验程序框图如图所示:

数字信号处理和滤波器设计

计算机仿真技术实验指导书

河南科技大学电子信息工程学院 二〇〇八年二月

计算机仿真技术实验指导书 MATLAB是一种交互式的以矩阵为基本数据结构的系统。在生成矩阵对象时,不要求明确的维数说明。所谓交互式,是指MATLAB的草稿纸编程环境。 与C语言或FORTRON语言作科学数值计算的程序设计相比较,利用MATLAB可节省大量的编程时间。 本实验指导书主要讨论四个实验。 实验一信号与系统的时域分析以及信号合成与分解 1. 实验目的 (1) 连续时间信号的向量表示法和符号运算表示法,典型离散信号表示; (2) 连续信号和离散信号的时域运算与时域变换; (3) 连续系统和离散系统的卷积,以及冲激响应、阶跃响应、单位响应、零状态响应; (4) 周期信号的傅立叶级数分解与综合(以周期方波为例); 2. 实验原理与方法 (1) 信号在MATLAB中的表示方法 MATLAB用两种方法来表示连续信号,一种是用向量的方法来表示信号,另一种则是符号运算的方法来表示信号。用适当的MATLAB语句表示出信号后,就可以利用MATLAB的绘图命令绘制出直观的信号时域波形。 向量表示法表示信号的方法是:MATLAB用一个向量表示连续信号的时间范围,另一个向量表示连续信号在该时间范围内的对应样值。如下列代码p=0.001; t=-pi:p:pi; f=1+cos(t); plot(t,f) title('f(t)=1+cos(t)') xlabel('t') axis([-pi,pi,-0.2,2.4])

执行后即可绘制连续信号1+cos(t)的时域波形。 借助于符号运算以及符号绘图函数ezplot,也可以绘制连续信号时域波形。如下列代码 syms t f=sym('1+cos(t)') %定义符号表达式 ezplot(f,[-pi,pi]) %绘制符号表达式波形 set(gcf,'color','w') %设置当前图形背景颜色为白色 执行后即可绘制连续信号1+cos(t)的时域波形。 与连续信号的表示相似,在MATLAB中,离散信号也需要用两个向量来表示,其中一个向量表示离散信号的时间范围,另一个向量表示该离散信号在该时间范围内的对应样值。但与连续信号表示有所不同的是,表示离散信号时间范围向量的元素必须为整数。如下列代码 n=[-3,-2,-1,0,1,2,3]; x=[-3,2,-1,3,1,-2,1]; stem(n,x,'filled') set(gcf,'color','w') title('x(n)') xlabel('n') 执行后即可绘制离散信号x(n)={ -3,2,-1,3,1,-2,1}的时域波形。 ↑ n=0 (2) 连续信号和离散信号的时域运算与时域变换 对连续信号而言,其基本时域变换有反褶、平移、尺度变换、倒相。 利用MATLAB的符号运算功能以及符号绘图函数ezplot,可以直观的观察和分析连续信号的时域运算与时域变换。如下列代码 syms t; f=sym('(t+1)*(heaviside(t+1)-heaviside(t))'); f=f+sym('(heaviside(t)-heaviside(t-1))'); %定义信号符号表达式 ezplot(f,[-3,3]) %绘制信号波形 axis([-3,3,-1.2,1.2]) set(gcf,'color','w')

相关主题
文本预览
相关文档 最新文档