当前位置:文档之家› 南昌大学 EDA 实验报告 实验五 VGA

南昌大学 EDA 实验报告 实验五 VGA

南昌大学 EDA 实验报告  实验五 VGA
南昌大学 EDA 实验报告  实验五 VGA

南昌大学实验报告

学生姓名:xx 学号:xx 专业班级:xx

实验类型:□验证□综合□设计□创新实验日期:2016.11.04 实验成绩:

实验五VGA 彩条信号发生器的设计

(一)实验目的

1.了解普通显示器正确显示的时序。

2.了解v erilog HDL 产生V GA 显示时序的方法。

3.进一步加强对F PGA 的认识。

(二)实验要求

1.在CRT显示器上显示横条纹、竖条纹、棋盘格子图案、以及带自己名字的南昌大

学校门图片。

2.用两个按键模块来控制显示模式。

3.用两个按键模块实现图片的上下左右移动。

(三)实验原理

VGA接口基本电路标准的VGA接口一个有15个接口(见图1),但其中真正用到的就5个脚,HSYNC是行同步信号,VSYNC是场同步信号,同步信号就是为了让VGA显示器扫描像素点数据,vga_r、vga_g、vga_b为三原色信号。

图1

VGA的接口时序如图2所示,场同步信号VSYNC在每帧数据开始的时候产生一个固定宽度的低脉冲,行同步信号HSYNC在每行开始的时候产生一个固定宽度的低脉冲,数据在某些固定的行和列交汇处有效。

图2

本实验我们用的是800*600显示器吗,刷新频率为60Hz,用50MHz晶振的FPGA芯片驱动设计,表1为其脉冲技术表。

表1

首先行同步信号在某个系统时钟上升沿到来时被拉低120个脉冲宽度后被拉高,经过67个脉冲,DATA数据有效,即显示器开始扫描800个列像素点,扫描完成到下一次行同步信号再次被拉低,中间经过52个时钟脉冲。扫描一行需要的数据帧长为1039。而场同步信号的扫描方式类似于上面的步骤,在某个时钟到来时被拉低6个脉冲宽度继而被拉高,拉高后延迟25个脉冲周期行同步信号被拉

微处理器与可编程器件应用2012.12低,这样开始扫面每行的像素。完成本次扫描后场同步信号再次被拉低重复上述过程。因为刷新频率为60 Hz,所以每秒场同步信号会被拉低60次。

(四)核心代码

按键控制图片移动:

always @ (posedge CLK_50M or negedge RST_N)

if(!RST_N)

addr<=0;

else if(valid)

begin

if((xpos>mode_x_cnt&&xposmode_y_cnt&ypos

addr<=(ypos-mode_y_cnt)*200+(xpos-mode_x_cnt);

else addr<=0;

end

else addr<=0;

(五)管脚分配

(六)下载测试。

下载到实验箱上测试,一次上推、下推k1,k2,显示器上会出现横向彩色条纹,纵向彩色条纹,黑白棋盘条纹,以及南昌大学校门图片。按键s1,s2可控制图片的上下左右移动。

(七)实验小结

本实验用到将图片变为mif格式存储到rom中,以及VGA显示的原理,刚开始做的时候有点慌张,查了很多资料,学习到不少知识。

南昌大学低电阻测量实验报告

南昌大学物理实验报告 课程名称:大学物理实验 实验名称:低电阻测量 学院:专业班级: 学生姓名:学号: 实验地点:座位号: 实验时间:

其中r1、r2 分别是连接安培表及变阻器用的两根导线与被测电阻两端接头处的接触电阻及导线本身的接线电阻,r3、r4 是毫伏表和安培表、滑线变阻器接头处的接触电阻和接线电阻。通过安培表的电流I 在接头处分为I1、I2 两支,I1 流经安培表和R 间的接触电阻再流入R,I2 流经安培表和毫伏表接头处的接触电阻再流入毫伏表。因此,r1、r2 应算作与R 串联;r3、r4 应算作与毫伏表串联。由于r1、r2 的电阻与R 具有相同的数量级,甚至有的比R 大几个数量级,故毫伏表指示的电位差不代表R 两端的电位差。也就是说,如果利用毫伏表和安培表此时所指示的值来计算电阻的话,不会给出准确的结果。 为了解决上述问题,试把连接方式改为如图2(a)所示的式样。同样用电流流经路线的分析方法可知,虽然接触电阻r1、r2、r3 和r4 仍然存在,但由于其所处位置不同,构成的等效电路改变为图2(b)。由于毫伏表的内阻大于r3、r4、R,故毫伏表和安培表的示数能准确地反映电阻R 上的电位差和通过的电流。利用欧姆定律可以算出R 的正确值。

由此可见,测量电阻时,将通电流的接头(电流接头)a、d 和测量电位差的接头(电压接头)b、c 分开,并且把电压接头放在里面,可以避免接触电阻和接线电阻对测量低值电阻的影响。 这结论用到惠斯通电桥的情况如果仍用单臂电桥测低值电阻R X,则比较臂R b 也应是低值电阻,这样才能在支路电流增大时,从而使R X 的电位差可以跟R1 上的电位差相等。设R1 和R2 都是10Ω以上的电阻,则与之有关的接触电阻和接线电阻的影响可以忽略不计。消除影响的只是跟R X、R b 有关的接触电阻和接线电阻。我们可以这样设想,如图3 所示。应用上面的结论在R X 的A 点处分别接电流接头A1 和电压接头A2;在R b 的D 点处分别接电流接头D1 和电压接头D2。则A 点对R X 和D 点对R b 的影响都已消除。关于C 点邻近的接线电阻和接触电阻同R1、R2、R g 相比可以略去不计。但B1、B3 的接触电阻和其间的接线电阻对R X、R b 的影响还无法消除。为了消除这些电阻的影响,我们把检流计同低值电阻的接头也接成电压接头B2、B4。为了使B2、B4 的接触电阻等不受影响,也象R1、R2 支路一样,分别接上电阻R3、R4 譬如10Ω,则这两支路的接触电阻等同R3、R4 相比较可略去。这样就在单电桥基础上增加两个电阻R3、R4,从而构成一个双臂电桥。但是B1、B3 的接触电阻和B1、B3 间的接线电阻无处归并,仍有可能影响测量结果。下面我们来证明,在一定条件下,r 的存在并不影响测量结果。

惠斯通电桥实验报告南昌大学

南昌大学物理实验报告 课程名称:_____________ 大学物理实验 实验名称:_______________ 惠斯通电桥 学院:___________ 专业班级: 学生姓名:_________ 学号: 实验地点:___________ 座位号: 实验时间:第11周星期4上午10点开始

、实验目的: 1. 掌握电桥测电阻的原理和方法 2. 了解减小测电阻误差的一般方法 、实验原理: (1) 惠斯通电桥原理 惠斯通电桥就是一种直流单臂电桥,适用于测中值电阻,其原理电路如图 7-4所示。若调节电阻到合适阻值时, 可使检流计 G 中无电流流过,即 B 、D 两点的电位相等,这时称为“电桥平衡”。电桥平衡,检流计中无电流通过, 相当于无BD 这一支路,故电源 E 与电阻R ,、R x 可看成一分压电路;电源和电阻 R 1 上面两式可得 R 2 桥达到平衡。故常将 R 、R 2所在桥臂叫做比例 臂,与R x 、R S 相应的桥臂分别叫做测量臂和比 较臂。 V B C 点为参考,贝y D 点的电位V D 与B 点的电位V B 分别为 R 2 R S R S V D R X 因电桥平V B V D 故解 R 2、R S 可看成另一分压电路。若以 R x 为 E 待测电阻,则有 R>< R X R S 上式叫做电桥的平衡条件,它说明电桥平衡时,四个臂的阻值间成比例关系。如果 1 10,10 1等)并固定不变,然后调节 金使电

(2)电桥的灵敏度

n R S R S 灵敏度S 越大,对电桥平衡的判断就越容易,测量结果也越准确。 此时R s 变为R s ,则有:R x R2 R s ,由上两式得R x . R s R s 三、 实验仪器: 线式电桥板、电阻箱、滑线变阻器、检流计、箱式惠斯通电桥、待测电阻、低压直流电源 四、 实验内容和步骤: 1. 将箱式电桥打开平放,调节检流计指零 2. 根据待测电阻(线式电桥测量值或标称值)的大小和 R 3值取满四位有效数字原则,确定比例臂的取值,例如 R 为数千欧的电阻,为保证 4位有效数字,K r 取 3. 调节F 3的值与R <的估计 S _____ S 的表达式 R S R S S-i S 2 _____________________ ES R i R 2 R s R x 1 R E % R i R 2R X Rg 2 R x R s R 2 R - R E 2 R R s R x (3) 电桥的测量误差 电桥的测量误差其来源主要有两方面,一是标准量具引入的误差, 二是电桥灵敏度引入的误差。为减少误差传递, 可采用交换法。 交换法:在测定R x 之后,保持比例臂 R -、R 2不变,将比较臂 R s 与测量臂R x 的位置对换,再调节 R s 使电桥平衡,设 电桥的灵敏程度定义: R i

江苏大学 计算机图形学第三次实验报告 二维图形变换

计算机科学与通信工程学院 实验报告 课程计算机图形学 实验题目二维图形变换 学生姓名 学号 专业班级 指导教师 日期

成绩评定表

二维图形变换 1. 实验内容 完成对北极星图案的缩放、平移、旋转、对称等二维变换。 首先要建好图示的北极星图案的数据模型(顶点表、边表)。另外,可重复调用“清屏”和“暂停”等函数,使整个变换过程具有动态效果。 2. 实验环境 操作系统:Windows XP 开发工具:visual studio 2008 3. 问题分析 为了建立北极星图形,首先在二维空间中根据坐标绘制出北极星图形。并且在此坐标系中确定好走笔顺序以便于进行连线操作。 同时需要好好的使用清屏函数以使得显示正常。 1. 放大缩小变换 放大缩小变换公式为:x’=x.a, y’=y.d; 其中a,d分别为x,y方向的放缩比例系数。 可通过不同的比例系数来显示程序运行结果。当a=d时为等比例放缩操作。可令变换矩阵为T。 2. 对称变换 包括以x轴对称、y轴对称和原点O对称三种。由于屏幕坐标只有第一象限,我们可以将原点平移到(500,240)处。在第一象限画出一个三角形,然后分别求出三个对称图形。 3. 旋转变换 将图形上的点(x,y)旋转θ角度,得到新的坐标(x’,y’)为: x’=xcosθ-ysinθ, y’=xsinθ+ycosθ;

旋转矩阵T为4.平移变换 4. 算法设计 5. 源代码

//北极星 void hzbjx(CDC* pDC,long x[18],long y[18]) { CPen newPen1,*oldPen; newPen1.CreatePen(PS_SOLID,2,RGB(255,0,0)); oldPen = pDC->SelectObject(&newPen1); POINT vertex1[11]={{x[1],y[1]},{x[2],y[2]},{x[3],y[3]},{x[4],y[4]},{x[5],y[5]},{x[3],y[3]},{x[1],y[1]},{ x[6],y[6]},{x[3],y[3]},{x[7],y[7]},{x[5],y[5]}}; pDC->Polyline(vertex1, 11); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(0,255,0)); oldPen = pDC->SelectObject(&newPen1); POINT vertex2[5]={{x[6],y[6]},{x[8],y[8]},{x[9],y[9]},{x[3],y[3]},{x[8],y[8]}}; pDC->Polyline(vertex2, 5); POINT vertex3[5]={{x[4],y[4]},{x[10],y[10]},{x[11],y[11]},{x[3],y[3]},{x[10],y[10]}}; pDC->Polyline(vertex3, 5); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(255,0,90)); oldPen = pDC->SelectObject(&newPen1); POINT vertex4[11]={{x[12],y[12]},{x[13],y[13]},{x[3],y[3]},{x[9],y[9]},{x[14],y[14]},{x[15],y[15]},{x[ 3],y[3]},{x[11],y[11]},{x[12],y[12]},{x[3],y[3]},{x[14],y[14]}}; pDC->Polyline(vertex4, 11); newPen1.DeleteObject(); newPen1.CreatePen(PS_SOLID, 2, RGB(0,100,255)); oldPen = pDC->SelectObject(&newPen1); POINT vertex5[5]={{x[15],y[15]},{x[16],y[16]},{x[3],y[3]},{x[16],y[16]},{x[7],y[7]}}; pDC->Polyline(vertex5, 5); POINT vertex6[5]={{x[2],y[2]},{x[17],y[17]},{x[3],y[3]},{x[17],y[17]},{x[13],y[13]}};

南昌大学嵌入式ADC实验

基础实验二ADC 一、实验目的 掌握 S3C2410A 的模/数(A/D)转换器的应用设置,进行电压信号的测量。 二、实验设备 硬件: PC 机一台 MagicARM2410 教学实验开发平台一套 软件:Windows98/XP/2000 系统,ADS 1.2 集成开发环境 超级终端程序(Windows 系统自带) 三、实验内容 使用 AIN0 和 AIN1 测量两路直流电压,并将测量结果通过 UART0 向 PC 机发送。 四、实验原理 S3C2410A 具有 1 个 8 通道的 10 位模数转换器(ADC),有采样保持功能,输入电压范围0~3.3V,在 2.5MHz 的转换器时钟下,最大的转换速率可达 500KSPS。A/D 转换器的AIN5、AIN7 还可以与控制脚 nYPON、YMON、nXPON 和XMON 配合,实现触摸屏输入功能。 为了正确使用 A/D 转换器,需要设置 A/D 转换器的时钟,还有 A/D 转换器的工作模式设置和输入通道选择,这都是通过 ADCCON 寄存器来设置的。然后置位 ADCCON 寄存器的 ENABLE_START 位来控制启动 A/D 转换,读 ADCCON 寄存器的 ECFLG 位来判断 A/D转换是否已经结束。当一次 A/D 转换结束后,通过读 ADCDAT0 寄存器来取得 A/D 转换结果,寄存器的低 10 位数据有效。 五、实验步骤 (1)启动 ADS 1.2,使用 ARM Executable Image for DeviceARM2410 工程模板建立一个工程 ADC01。 (2)在 src 组中的 main.c 中编写主程序代码。 (3)选用 DebugRel 生成目标,然后编译链接工程。 (4)将 MagicARM2410 实验箱上的 UART0 连接跳线 JP1 短接,使用串口延长线把MagicARM2410 实验箱的 CZ11 与 PC 机的 COM1连接。 (5)PC 机上运行“超级终端”程序(在 Windows 操作系统的【开始】->【程序】->【附件】->【通讯】->【超级终端】),新建一个连接,设置串口波持率为 115200,接着呼叫连接(“超级终端”主窗口的【呼叫】->【呼叫】)。 (6)选择【Project】->【Debug】,启动 AXD 进行 JTAG 仿真调试。

南昌大学DSP实验报告

实验报告 实验课程:DSP原理及应用 学生姓名: 学号: 专业班级: 2012年 5月 25日

目录 实验一定点除法运算 实验二FIR滤波器 实验三FFT算法 实验四卷积计算 实验五数码管显示 实验六语音录放

实验一定点除法运算 一、实验目的 1、熟悉C54指令系统,掌握常用汇编指令,学会设计程序和算法的技巧。 2、学习用指令实现除法运算。 二、实验设备 计算机;DSP 硬件仿真器;DSP 实验开发平台。 三、实验原理 由内置的硬件模块支持,数字信号处理器可以高速的完成加法和乘法运算。但TMS320 系列DSP不提供除法指令,为实现除法运算,需要编写除法子程序来实现。二进制除法是乘法的逆运算。乘法包括一系列的移位和加法,而除法可分解为一系列的减法和移位。本实验要求编写一个16 位的定点除法子程序。 1.除法运算的过程设累加器为8 位,且除法运算为10 除以3,除的过程包括与除数有关的除数逐步移位,然后进行减法运算,若所得商为正,则在商中置1,否则该位商为0 例如:4 位除法示例:(1)数的最低有效位对齐被除数的最高有效位00001010 - 00011000 11110010 (2)由于减法结果为负,丢弃减法结果,将被除数左移一位再减00010100 - 00011000 11111000 (3)结果仍为负,丢弃减法结果,将被除数左移一位再减00101000 - 00011000 00010000 (4)结果为正,将减法结果左移一位后把商置1,做最后一次减00100001 - 00011000 00001001 (5)结果为正,将减法结果左移一位加1 得最后结果,高4 位是余数,低4 位商:00010011 2.除法运算的实现为了尽量提高除法运算的效率,’C54x 系列提供了条件减指令SUBC 来完成除法操作。 四、实验步骤 1.用Simulator 方式启动Code Composer。 2 .执行Project New 建立新的项目,输入chuf作为项目的名称,将程序定位在D:\ti\myprojects\chuf目录。 3.执行File New Source File 建立新的程序文件,为创建新的程序文件命名为chuf.asm 并保存;执行Project Add Files to Project,把chuf.asm 加入项目中。4.执行File New Source File 建立新的文件并保存为chuf.cmd;执行Project Add Files to Project,把chuf.cmd 加入项目中。 5.编辑chuf.asm 加入如下内容: ;*** 编制计算除法运算的程序段。其中|被除数|<|除数|,商为小数*** .title "chuf.asm" .mmregs .def start,_c_int00

江苏大学物理实验考试题库和答案完整版

大学物理实验A(II)考试复习题 1.有一个角游标尺,主尺的分度值是°,主尺上29个分度与游标上30个分度等弧长,则这个角游标尺的最小分度值是多少? 30和29格差1格,所以相当于把这1格分成30份。这1格为°=30′,分成30份,每份1′。 2.电表量程为:0~75mA 的电流表,0~15V 的电压表,它们皆为级,面板刻度均为150小格,每格代表多少?测量时记录有效数字位数应到小数点后第几位(分别以mA 、V 为记录单位)?为什么? 电流表一格小数点后一位 因为误差, 电压表一格小数点后两位,因为误差,估读一位 ***3.用示波器来测量一正弦信号的电压和频率,当“Y轴衰减旋钮”放在“2V/div”档,“时基扫描旋钮”放在“div”档时,测得波形在垂直方向“峰-峰”值之间的间隔为格,横向一个周期的间隔为格,试求该正弦信号的有效电压和频率的值。 f=1/T=1÷×= U 有效=÷根号2= ***4.一只电流表的量程为10mA ,准确度等级为级;另一只电流表量程为15mA ,准确度等级为级。现要测量9mA 左右的电流,请分析选用哪只电流表较好。 量程为10mA ,准确度等级为级的电流表最大误差,量程为15mA ,准确度等级为级,最大误差,所以选用量程为15mA ,准确度等级为级 5. 测定不规则固体密度 时,,其中为0℃时水的密度,为被测物在空气中的称量质量,为被测物完全浸没于水中的称量质量,若被测物完全浸没于水中时表面附 有气泡,试分析实验结果 将偏大还是偏小?写出分析过程。 若被测物浸没在水中时附有气泡,则物体排开水的体积变大,物体所受到的浮力变大,则在水中称重结果将偏小,即m 比标准值稍小,可知0ρρm M M -=将偏小 6.放大法是一种基本的实验测量方法。试写出常用的四种放大法,并任意选择其中的两种方法,结合你所做过的大学物理实验,各举一例加以说明。 累计放大法 劈尖干涉测金属丝直径的实验中,为了测出相邻干涉条纹的间距 l ,不是仅对某一条纹测量,而是测量若干个条纹的总间距 Lnl ,这样可减少实验的误差。 机械放大法 螺旋测微器,迈克尔孙干涉仪读数系统

工商管理学院实验报告

实验报告 课程名称: 人力资源管理__ 课程代码: 101207709 __ 学院(直属系): 工商管理学院_ 年级/专业/班: 2013级工商管理6班 学生姓名: 张启___ 学号: 312013073456 实验总成绩: _____________________ 任课教师: 曹嘉晖______ 开课学院: 工商管理学院_ 实验中心名称: 工商管理学院实验中心

西华大学实验报告 开课学院及实验室:工商管理学院实验中心实验时间:2015年11月2-13日 本实验的主要目的是培养学生综合应用人力资源管理理论和实际操作的能力。 二、实验要求 ①通过计算机软件对人力资源管理各种实务的模拟,增强学生对人力资源管理的感性认识,使学生所学的理论知识转化为动手能力。②综合应用本课程的知识或相关课程知识,使学生全面、系统地体会人力资源管理的全部内容和涵义。③给定实验目的、要求和实验条件,由学生自行设计实验方案并加以实现,以激发学生的主动性、创造性。 三、使用设备、仪器及材料 计算机、计算机网络、打印机及相关软件等 四、实验过程记录 1、在AC单项实验中我们需要招聘大客户经理,进行销售行为访谈,根据对大客户经理岗位的要求,选出3-4个比较适合该案例企业所招聘岗位考察的维度。运用行为时间访谈法(BEI)比较合适的考察了上述维度,选出了几个比较适合该行为事件访谈法考察的维度,对各个指标进行权重分配,根据所招聘岗位的各个考察指标设置符合STAR原则部分要求的面试题目。最终招聘到了合适的大客户经理。 2、在综合性训练计划报告中,对TT公司进行案例分析。解决了其薪酬体系的问题,以及其实行的岗位工作制度的原因及优点等问题,分析了其实行绩效工资的薪酬体系的好处。 3、在战略和制度试验中,对LX公司进行分析,分析了该公司的绩效考核与公司战略的结合,以及是否适合推行保卫+进攻的双拳战略等问题。分析了其中的影响因素以及系统构成、战略调整、制度构建等方面的问题。

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

西华大学数据库实验报告(五)

第5次作业 ——事务、游标、自定义函数 一、环境 运行SQL Server,并已经创建名为student数据库、“学生信息”表、“课程”表、“学生成绩”表。 二、实训内容 1、事务 (1)Alice和Bob分别有银行账号A、B,分别用表a、表b表示,这2个表都只有1个字段amount,表示余额。现在需要从Alice向Bob转账制定金额,要求转账过程中不能出现错误,而且不管转账是否成功,都扣除Alice账号1元钱的手续费。 (2)amount字段上的约束条件是余额不能小于0 declare@xmoney money,@err1int,@err2int set@xmoney= 300 --指定转账金额为300 begin transaction update A set amount=amount- 1 --扣除手续费 set@err1=@err1+@@ERROR--记录上述update可能出现的错误 save transaction transfer--设置保存点transfer update A set amount=amount-@xmoney--从账号A中扣除金额 set@err2=@err2+@@ERROR--记录上述update可能出错的情况 update B set amount=amount+@xmoney--想账号B中转入金额 set@err2=@err2+@@error if@err1!= 0 --如果扣除手续费出现错误 begin rollback transaction print'所有操作失败' end else begin

if@err2!= 0 --如果转账过程出现错误 begin rollback transaction transfer--回滚到保存点transfer处 print'转账失败,但手续费已扣' end else begin commit transaction--所有操作成功,提交事务 print'转账成功' end end go 2、自定义函数 (1)在student数据库中,创建标量函数,统计“课程”表中总共有多少 条门课程,写出程序代码。要求:在建立函数之前,为排除重名函数,先判断要创建的函数是否存在,如果存在则先删除。 if exists(select name from sysobjects where name='Ccount'and type='FN') drop function https://www.doczj.com/doc/5a11886609.html,ount go create function https://www.doczj.com/doc/5a11886609.html,ount() returns int as begin declare@num int set@num= 0 select@num=count(*) from学生课程_蒲强林 return@num end go -- 调用函数查询 use student go declare@num int set@num= 0 set@num=https://www.doczj.com/doc/5a11886609.html,ount() print'课程表中总共有:'+cast(@num as char(1))+'门课程' go 运行结果截图:

南昌大学自动装置实验报告

实验报告 实验课程:自动装置原理 学生姓名: 学号: 专业班级:电力系统及其自动化班

目录实验一:无功调差及自动检测实验 实验二:综合放大及调节特性实验

实验一:无功调差及自动检测实验一、实验目的 1.深入理解调差原理,掌握改变发电机电压调节特性斜率的方法。2.深入了解测量和比较整定电路的结构形式和工作原理。 3.掌握自动检测各个环节的工作特性及其调试方法。 二、实验设备 三、实验内容与步骤 1.无功调差和自动检测实验接线 见图1-9,将三相调压器输出调至 零输出位置,电源开关处于断开 状态,按图接线,接线完毕后要 自行检查接线正确性,然后,请 指导老师检查,确定无误后,接 入交流电源(注意:在整个实验 过程中,由三相调压器输入实验 电路测量变压器1-3T一次侧的电 压不得大于120V“线电压”,并且 U AB=U BC=U CA)。 2.将调差整定开关置于“0”档。 “调试”“运行”插头插入“运行” 位置。“远”“近”控开关置于“近” 控位置。 3.将输入电压调至 U AB=U BC=U CA =105V,按表1-1 要求进行检测: ①检测测量变压器的变比(测 出二次侧线电压进行计算)。 ②检测三相桥式整流器的输出 电压 ③检测二个比较桥上四个稳压 管反向击穿后的稳压值。把各项 测试数据记录在表2-1中。 4.用示波器观察测试整流输出 直流电压叠加的交流纹波。

5.比较桥检测特性实验 实验接线见图1-9,当电压整定电位器RP分别置于“0圈”“5圈”“10圈”位置时,在测量变压器一次侧加入三相交流电压U f,按表1-2改变交流电压输入值,用高内阻电压表测出U f从小到大调节变化过程中各对应点的U CB、U DB、U CD(即△U)及U EB、U FB,记录在表1-2中。 6.根据表1-2中测得的数据绘制检测桥的特性曲线。 四、实验记录表 表1-1 测量变压器变比、整流及稳压管稳压值测试记录表(见下表)。调差电阻“0 AB BC CA 表1-2 比较桥检测特性实验记录表 整定电位器位置不同时,测试交流电压U f与测量桥的输出关系,测量桥输出一点为RP滑动端(C),另一点为4VW c和3R c的连接点(D),即为比较桥输出电压U CD(△U),及U CB、U DB、U EB、U FB各点电位见图1-9。

西华大学CAD上机实验报告

西华大学上机实验报告(二) 一、实验目的 掌握直线、多边形、圆、圆弧、椭圆、圆环、多段线等绘图命令的操作与运用。 二、实验内容或设计思想 1)用上节课所学的绘图命令,绘制下列图形,形似即可,但要求圆和六边形的中心坐标为(0.5L,1/3B),半圆的中心坐标在矩形的长边中心处。(其中L为矩形的长,B为矩形的宽)。 2)绘制下列图形,不需标注,尺寸、角度必须精确。

三、实验环境与工具 计算机、AUTOCAD软件。 四、实验过程或实验数据 1)打开AUTOcad软件; 2)2-1 命令: _rectang 指定第一个角点或[倒角(C)/标高(E)/圆角(F)/厚度(T)/宽度(W)]: 指定另一个角点或[面积(A)/尺寸(D)/旋转(R)]: 命令: _explode 找到 1 个 命令: _divide 选择要定数等分的对象: 输入线段数目或[块(B)]: 3 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)]: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <12.2851>: 命令: _trim 当前设置:投影=UCS,边=无 选择剪切边... 找到11 个 选择要修剪的对象,或按住Shift 键选择要延伸的对象,或 [栏选(F)/窗交(C)/投影(P)/边(E)/删除(R)/放弃(U)]:

2-2-1 命令: _line 指定第一点: 指定下一点或[放弃(U)]: 命令: _line 指定第一点: 指定下一点或[放弃(U)]: @80<141.5 命令: xl XLINE 指定点或[水平(H)/垂直(V)/角度(A)/二等分(B)/偏移(O)]: a 输入构造线的角度(0) 或[参照(R)]: 54.5 指定通过点: 命令: _trim 当前设置:投影=UCS,边=无 选择剪切边... 找到 3 个 选择要修剪的对象,或按住Shift 键选择要延伸的对象,或 [栏选(F)/窗交(C)/投影(P)/边(E)/删除(R)/放弃(U)]: 2-2-2 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <20.0000>: 30 命令: _polygon 输入边的数目<4>: 指定正多边形的中心点或[边(E)]: 输入选项[内接于圆(I)/外切于圆(C)] : 指定圆的半径: 30 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <30.0000>: 20 命令: _circle 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <20.0000>: 5 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>: 命令:CIRCLE 指定圆的圆心或[三点(3P)/两点(2P)/相切、相切、半径(T)]: 指定圆的半径或[直径(D)] <5.0000>:

实验一 一位二进制全加器设计实验

南昌大学实验报告 学生姓名: 学 号: 专业班级: 中兴101 实验类型:■ 验证 □ 综合 □设计 □ 创新 实验日期: 2012 9 28 实验成绩: 实验一 一位二进制全加器设计实验 一.实验目的 (1)掌握Quartus II 的VHDL 文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3) 熟悉设备和软件,掌握实验操作。 二.实验内容与要求 (1)在利用VHDL 编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三.设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器原理图。其中:a 、b 分别为被加数与加数,作为电路的输入端;so 为两数相加产生的本位和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 半加器真值表 由真值表可分别写出和数so ,进位数co 的逻辑函数表达式为: b a b a b a so ⊕=+=- - (1) ab co = (2) 图1半加器原理图 (2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。全加器的真值表如下:

西华大学 信息检索B 实验报告

序号: 实验报告 课程名称: 信息检索B 课程代码: 3500009 学院(直属系): 电气信息学院 年级/专业/班: 学生姓名: 学号: 实验总成绩 : 任课教师: 开课学院: 西华大学图书馆 实验中心名称: 图书馆电子阅览室

实验一 (2) 实验二 (5) 实验三 (8) 实验四 (11)

实验一 开课学院及实验室:图书馆实验时间:2012年10月31日 实验目的:通过上机操作,掌握图书馆OPAC的检索途径和方法和读秀学术搜索或超星电子图书等检索工具的分类浏览、简单检索、高级检索等检索方法,并能掌利用相关工具获取文献全文的技巧。 实验要求:利用西华大学图书馆OPAC、超星数字图书馆、读秀学术搜索等检索相关信息并按照信息检索步骤写出操作过程。 一、利用西华大学图书馆OPAC检索与专业相关的教材,并记录检索结果中1条书目的详细信息。

5实施检索(截取填写后的检索界面) 6 检索结果结果数3相关信息 题录(1条) 7 获取全文方式图书馆借阅 二利用读秀学术搜索或超星电子图书检索上题的书目在其数据库的收录情况,并记录检索和获取全文的步骤。

1课题名称检索书名是说服力,作者是戴夫·拉克哈尼(Dave Lakhani)的图书 2 课题 分析 信息类型图书 信息特征项书名,作者 关键词说服力,Dave Lakhani 其他要求 3 检索工具读秀学术搜索 4 检索 策略 检索途径书名,作者 检索式书名=说服力 and 作者= Dave Lakhani 5实施检索(截取填写 后的检索界面) 6 检索 结果 结果数1 相关信息题 录(1条) 所选信息参 考文献格式 Dave Lakhani著;答洁,张丽译.说服力:如愿以偿的艺术. 四川人民出版社 , 2007. 7 获取全文方式文献传递

南昌大学PLC实验报告

实验一三相异步电动机接触器点动控制线路 (2) 实验二三相异步电动机的可逆运转控制 (4) 实验三通电延时型控制线路 (6) 实验四可编程控制器的基本指令编程练习 (8) 实验五喷泉的模拟控制 (10) 实验六交通灯的模拟控制 (13) 实验七液体混合的模拟控制 (16)

实验一 三相异步电动机接触器点动控制线路 一、概述 三相笼式异步电机由于结构简单、性价比高、维修方便等优点获得了广泛的应用。在工农业生产中,经常采用继电器接触控制系统对中小功率笼式异步电机进行点动控制,其控制线路大部分由继电器、接触器、按钮等有触头电器组成。 图2是三相鼠笼异步电动机接触器点动控制线路(电机为Y 接法) 起动时,合上漏电保护断路器及空气开关QF ,引入三相电源。按下起动按钮SB2时,交流接触器KM1的线圈通电,主触头KM1闭合,电动机接通电源起动。当手松开按钮时,接触器KM1断电释放,主触头KM1断开,电动机电源被切断而停止运转。 FR1 FU1KM1 QF L1 L2 L3 L KM1 M 3~ FR1 N FU2 FU2 L SB1 SB2 二、实验目的 1、 了解时间继电器的结构,掌握其工作原理及使用方法。 2、 掌握Y-Δ起动的工作原理。 3、 熟悉实验线路的故障分析及排除故障的方法。 三、实验设备 序号 设备名称 使用仪器名称 数量 1 DL-CX-001 三相交流电源 1 2 WD01G 空开、熔断器模块 1 3 WD04G 热继电器模块 1 4 WD09G 按钮模块 1 5 WD02G 接触器模块 1 6 M04 三相鼠笼式异步电动机 1 四、实验内容及步骤 1、检查各实验设备外观及质量是否良好。 2、按图2三相鼠笼式异步电动机接触器点动控制线路进行正确的接线。先接主回路,再接控制回路。自己检查无误并经指导老师检认可后方可合闸通电实验。 (1)、热继电器值调到1.0A 。 (2)、合上漏电保护断路器及空气开关QF ,调节三相电源输出220V 。 (3)、按下起动按钮SB2时,观察电机工作情况,体会点动操作。(注意,操作次数不宜频

(完整版)江苏大学物理实验考试题库和答案完整版

WORD 格式 整理 大学物理实验A(II)考试复习题 1.有一个角游标尺,主尺的分度值是0.5°,主尺上29个分度与游标上30个分度等弧长,则这个角游标尺的最小分度值是多少? 30和29格差1格,所以相当于把这1格分成30份。这1格为0.5°=30′,分成30份,每份1′。 2.电表量程为:0~75mA 的电流表,0~15V 的电压表,它们皆为0.5级,面板刻度均为150小格,每格代表多少?测量时记录有效数字位数应到小数点后第几位(分别以mA 、V 为记录单位)?为什么? 电流表一格0.5mA 小数点后一位 因为误差0.4mA, 电压表一格0.1V 小数点后两位,因为误差0.08V ,估读一位 ***3.用示波器来测量一正弦信号的电压和频率,当“Y 轴衰减旋钮”放在“2V/div ”档,“时基扫描旋钮”放在“0.2ms/div ”档时,测得波形在垂直方向“峰-峰”值之间的间隔为8.6格,横向一个周期的间隔为9.8格,试求该正弦信号的有效电压和频率的值。 f=1/T=1÷(9.8×0.0002)=510.2 U 有效=8.6÷根号2=6.08V ***4.一只电流表的量程为10mA ,准确度等级为1.0级;另一只电流表量程为15mA ,准确度等级为0.5级。现要测量9mA 左右的电流,请分析选用哪只电流表较好。 量程为10mA ,准确度等级为1.0级的电流表最大误差0.1mA,量程为15mA ,准确度等级为0.5级,最大误差0.075mA,所以选用量程为15mA ,准确度等级为0.5级 5. 测定不规则固体密度 时,,其中为0℃时水的密度,为被测物在空气中的称量质量,为被测物完全浸没于水中的称量质量,若被测物完全浸没于水中时表面附 有气泡,试分析实验结果 将偏大还是偏小?写出分析过程。 若被测物浸没在水中时附有气泡,则物体排开水的体积变大,物体所受到的浮力变大,则在水中称重结果将偏小,即m 比标准值稍小,可知0ρρm M M -=将偏小 6.放大法是一种基本的实验测量方法。试写出常用的四种放大法,并任意选择其中的两种方法,结合你所做过的大学物理实验,各举一例加以说明。 累计放大法 劈尖干涉测金属丝直径的实验中,为了测出相邻干涉条纹的间距 l ,不是仅对某一条纹测量,而是测量若干个条纹的总间距 Lnl ,这样可减少实验的误差。

江苏大学计算机图形学第二次实验报告曲线拟合

江苏大学-计算机图形学第二次实验报告-曲线拟合

————————————————————————————————作者: ————————————————————————————————日期: ?

计算机科学与通信工程学院 实验报告 课程计算机图形学 实验题目实验二:曲线拟合 学生姓名 学号 专业班级 指导教师 日期 ?成绩评定表

评价内容具体内容权重得分论证分析 方案论证与综合分析的正确、 合理性 20% 算法设计算法描述的正确性与可读性20% 编码实现源代码正确性与可读性30% 程序书写规范标识符定义规范,程序书写风 格规范 20% 报告质量报告清晰,提交准时10% 总分 指导教师签名 1. 实验内容 1. 绘制三次Bezier曲线 (1)给定四个已知点P1—P4,以此作为控制顶点绘制一段三次Bezier曲线。

(2)给定四个已知点P1—P4,以此作为曲线上的点绘制一段三次Bezier曲线。2.绘制三次B样条曲线 给定六个已知点P1—P6,以此作为控制顶点绘制一条三次B样条曲线。 2.实验环境 Windows xp Vs 2008 3. 问题分析 Bezier曲线通过一组多边折线的各顶点唯一的定义出来。 在多边折线的各顶点中,只有第一点和最后一点在曲线上,其余的顶点则用来定义曲线的导数,阶次和形状。三次Bezieer曲线经过首、末两个控制点,且与特征多边形的首、末两条边相切。 因此在给定四个控制点的情况下,可以根据线性贝塞尔曲线描述的中介点 Q0、Q1、Q2,和由二次曲线描述的点 R0、R1 所建构。也可以在给定四个线上点的情况下根据公式计算出曲线。

西华大学实验报告(理工类).docx

西华大学实验报告(理工类) 装 订 线

1、控制与驱动电路的测试 (1)启动实验装置电源,开启DJK20控制电路电源开关。 (2)调节PWM脉宽调节电位器改变Ur,用双踪示波器分别观测SG3525的第11脚与第14脚的波形, 观测输出PWM信号的变化情况,并填入下表。 (3)用示波器分别观测A、B和PWM信号的波形,记录其波形、频率和幅值,并填入下表。 (4)用双踪示波器的两个探头同时观测11脚和14脚的输出波形,调节PWM脉宽调节电位器,观测 两路输出的PWM信号,测出两路信号的相位差,并测出两路PWM信号之间最小的“死区”时间。 2、直流斩波器的测试(使用一个探头观测波形) 斩波电路的输入直流电压U i由三相调压器输出的单相交流电经DJK20挂箱上的单相桥式整流及电容滤波后得到。接通交流电源,观测U i波形,记录其平均值(注:本装置限定直流输出最大值为50V,输入交流电压的大小由调压器调节输出)。 (1)切断电源,根据DJK20上的主电路图,利用面板上的元器件连接好相应的斩波实验线路,并接 上电阻负载,负载电流最大值限制在200mA以内。将控制与驱动电路的输出“V-G”、“V-E”分别接至V的G和E端。 (2)检查接线正确后,接通主电路和控制电路的电源。 (3)用示波器观测PWM信号的波形、U GE的电压波形、U CE的电压波形及输出电压Uo和二极管两 端电压U D的波形,注意各波形间的相位关系。 (4)调节PWM脉宽调节电位器改变Ur,观测在不同占空比(α)时,记录U i、U O和α的数值于下表 中,从而画出U O=f(α)的关系曲线。 五、实验过程记录(数据、图表、计算等)

实验一一位二进制全加器设计实验

◎南昌大学实验报告 学生姓名: ______ 学号:____________ 专业班级:中兴101 实验类型:■ 验证口综合□设计口创新实验日期:2012 9 28 实验成绩: _________________ 实验一一位二进制全加器设计实验 一. 实验目的 (1)掌握Quartus II的VHDL文本设计和原理图输入方法设计全过程; (2)熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果; (3)熟悉设备和软件,掌握实验操作。 二. 实验内容与要求 (1)在利用VHDL编辑程序实现半加器和或门,再利用原理图连接半加器和或门完成全加器的设计,熟悉层次设计概念; (2)给出此项设计的仿真波形; (3)参照实验板1K100的引脚号,选定和锁定引脚,编程下载,进行硬件测试。 三?设计思路 一个1位全加器可以用两个1位半加器及一个或门连接而成。而一个1位半加器可由基本门电路组成。 (1) 半加器设计原理 能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器。或:只考虑两 个一位二进制数的相加,而不考虑来自低位进位数的运算电路,称为半加器。图1为半加器 原理图。其中:a、b分别为被加数与加数,作为电路的输入端;so为两数相加产生的本位 和,它和两数相加产生的向高位的进位co 一起作为电路的输出。 半加器的真值表为 表1 由真值表可分别写出和数so,进位数co的逻辑函数表达式为: so a b a b a b co ab (1) (2)

(2) 全加器设计原理 除本位两个数相加外,还要加上从低位来的进位数,称为全加器。图2全加器原理图。 全加器的真值表如下: 其中a为加数,b为加数,c为低位向本位的进位,co为本位向高位的进位,so为本位和。 图2.全加器原理图 四?实现方法一:原理图输入法设计(自己独立完成) 1. 建立文件夹 建立自己的文件夹(目录),女口c:\myeda,进入Windows操作系统Quartusll不能识别中文,文件及文件夹名不能用中文。 2. 原理图设计输入 打开Quartus II ,选菜单File 宀New ,选择“Device Design File->Block Diagram- 'Schematic File 项。点击“ OK'在主界面中将打开“ Block Editor 窗口'。 (1) 放置元件 在原理图编辑窗中的任何一个空白处双击鼠标左键或单击右键,跳出一个选择窗,选择此窗中的Enter Symbol项输入元件,出现元件选择窗口。 元件选择窗口窗口中Symbol Libraries: 的路径c:\ Quartus2\max2lib\prim 下为基本

相关主题
文本预览
相关文档 最新文档