当前位置:文档之家› 第3章 组合逻辑电路习题解答

第3章 组合逻辑电路习题解答

第3章  组合逻辑电路习题解答
第3章  组合逻辑电路习题解答

复习思考题

3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。

3-2 什么是半加什么是全加区别是什么

若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。

3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。

3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题

中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。

3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 {

在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。

习 题

3-1试分析图所示各组合逻辑电路的逻辑功能。

解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕=

(2) 化简与变换:

D

C Y B A Y ⊕=⊕=21

则 21Y Y Y ⊕=

(4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。

(b)图 (1) 由逻辑图逐级写出表达式:

B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1

3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

[

解: (a)图 CD C B AB Y ⊕⊕⊕=

(b)图

C

B A Y B

C C A B A Y ⊕⊕=++=21 全加器

3-3 采用与非门设计下列逻辑电路: (1) 三变量非一致电路;

(2) 三变量判奇电路(含1的个数); (3) 三变量多数表决电路。 解:

输入 输出 —

输出 输出 A B C Y 1 Y 2 Y 3 0 0 0 0 0 1 0 1 0 0 1 1

?

1 0 0 1 0 1 1 1 0 1 1 1

0 1 1 1 1 1 % 1 0

0 1 1 0 1 0 0 1

— 0 0 0 1 0 1 1 1

AC

BC AB Y ABC C B A C B A C B A Y C

A B A C B Y ++=++++=++=321 3-4 有一个车间, 有红、黄两个故障指示灯,用来表示三台设备的工作情况。当有一台设备出现故障时,黄灯亮;若有两台设备出现故障时,红灯亮;若三台设备都出现故障时, 红灯、黄灯都亮。试用与非门设计一个控制灯亮的逻辑电路。

解:有故障用1表示,无故障用0表示,灯亮用1表示,灭用0表示

C

B

A

Y AC

BC

AB

Y

=+

+

=

2

1

3-5 A、B、C和D四人在同一实验室工作,他们之间的工作关系是:

(1) A到实验室,就可以工作;

(2) B必须C到实验室后才有工作可做;

(3) D只有A在实验室才可以工作。

请将实验室中没人工作这一事件用逻辑表达式表达出来。

解:1表示在实验室,0表示不在实验室,1表示有人工作,0表示没人工作

BC A Y +=

*

3-6 设计用单刀双掷开关来控制楼梯照明灯的电路。要求在楼下开灯后, 可在楼上关灯;同样也可在楼上开灯,而在楼下关灯。用与非门实现上述逻辑功能。

解;A 表示楼上开关、B 表示楼下开关。1表示开关闭合、0表示开关断开

B A B A Y +=

旅客列车分特快、直快、慢车等三种。它们的优先顺序由高到低依次是特快、直快、慢车。试设计一个列车从车站开出的逻辑电路。

解: A 表示特快、B 表示直快、C 表示慢车。1表示开、0表示停

C

B A Y B A Y A Y

C B A === 3-8 用译码器实现下列逻辑函数,画出电路图。 (1) Y 1=∑m (3,4,5,6)

(2) Y 2=∑m (1,3,5,9,11)

(3) Y 3=∑m (2,6,9,12,13,14)

解:65431m m m m Y +++=

%

6

5436

5431Y Y Y Y m m m m Y ==

1195312m m m m m Y ++++=

5

432111

95312Y Y Y Y Y m m m m m Y ==

1413129623m m m m m m Y +++++=

14

131296214

13129623Y Y Y Y Y Y m m m m m m Y ==

3-9 用与非门设计一个七段显示译码器,要求能显示H 、F 、E 、L 四个符号。 解:输入A 、B ,00表示H 、01表示F 、10表示E 、11表示L

输出Ya,Yb,Yc,Yd,Ye,Yf,Yg 。灯亮用1表示、灯灭用0表示

B A B A Y a += B A Y b = B A Y c = A Y d = 1==f e Y Y B A B A Y g +=

3-10 试用74LS151数据选择器实现逻辑函数: (1) Y (A ,B ,C ) =∑m (1,3,5,7) (2) ABC C AB BC A C B A Y +++=2 解: 75311m m m m Y +++=

76312m m m Y ++=

用译码器和门电路设计一个数据选择器。 解:四选一数据选择器

:

3-12 用集成二进制译码器和与非门实现下列逻辑函数,画出电路图。 (1) ∑

=

m

Y )6,5,4,3(1

(2) ∑=m

Y )10,8,6,2,0(2

3

32211003

01201101001D Y D Y D Y D Y D A A D A A D A A D A A Y +++=+++=33221100D Y D Y D Y D Y Y ???=3

3221100D Y D Y D Y D Y Y ???=

解: 65431m m m m Y +++=

6

5436

5431Y Y Y Y m m m m Y ==

1086201m m m m m Y ++++=

10

862010

86202Y Y Y Y Y m m m m m Y ==

3-13 画出用2片4位数值比较器组成8位数值比较器的电路图。

3-14 用四选一数据选择器和译码器, 组成二十选一数据选择器。 3-15 仿照全加器的设计方法,试设计一个一个全减器。

解:全减器有三个输入变量:被减数An 、减数Bn 、低位向本位的借位Cn ;有两个输出变量:本位差Dn 、本位向高位的借位C n +1

全减器真值表

1 1 1 1 1

习题3-15图

3-16 判断下列逻辑函数是否存在冒险现象: (1) C B A C B C A AB Y +++=1 (2) ))()((2C A C B B A Y +++= 解: C B A C B C A AB Y +++=1

当B=C=1时 A A Y +=1 则存在0冒险

))()((2C A C B B A Y +++=

当A=0 C=1时 B B Y ?=1 则存在1冒险

n

n n n n n n n n n n n n n n n n n n n B A C A C B C C B A C B A C B A C B A D ++=+++=+1

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

数字电子技术第三章(组合逻辑电路)作业及答案

数字电子技术第三章(组合逻辑电路)作业及答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S 0 =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

第三章 组合逻辑电路.

第三章 组合逻辑电路 授课题目: 3.1小规模组合逻辑电路的分析 教学目标: 1、熟练各种门电路的逻辑功能及描述方法。 2、掌握组合逻辑电路的分析方法。 3、小规模组合逻辑电路的设计 教学内容(包括重点、难点): 教学重点:组合逻辑分析步骤,小规模组合逻辑电路设计方法。 教学难点:分析和设计的步骤、思路和注意事项。 教学过程设计 ● 复习并导入新课 问题:1、逻辑电路有哪些表示方法? 2、如何由真值表写出函数表达式? ● 就新课内容提出问题 1、总结如何由具体事件分析输入变量、输出变量和它们的关系? 2、总结如何由具体事件分析输入变量、输出变量和它们的关系? 3、真值表如何写出? ● 讲授新课 3.1 小规模组合逻辑电路的分析和设计 按照逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做时序逻辑电路。 组合逻辑电路的特点:即刻输入,即刻输出。 F A B C

一、组合逻辑电路的分析方法 分析步骤如下: 第一步:写出逻辑函数表达式; 第二步:逻辑表达式进行化简; 第三步:列真值表; 第四步:分析电路的逻辑功能。 注:以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。 举例1: 分析上图所示电路的逻辑功能。 解 第一步:写出逻辑表达式。 P=AB N=BC Q=AC F=Q N P ??=AC BC AB ??=AB+BC+AC 第二步:列出真值表。 第三步:逻辑功能描述。由真值表可见,在输入三个变量中,只要有两个以上变量为1,则输出1,所以该电路是一个三变量多数表决器。 二、组合逻辑电路的设计 (一)设计的一般步骤如下: 第一步:分析要求; 第二步:列真值表; 第三步:写出逻辑表达式并化简; 第四步:画逻辑图。 举例2:设计一个三变量多数表决电路,用与非门实现。 解:(1)分析命题; (2

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第11章 组合逻辑电路

- 59 - 第11章 组合逻辑电路 从本章开始介绍数字集成电路。数字电路或逻辑电路,可以分为组合逻辑电路和时序逻辑电路两类。本章介绍组合逻辑电路,下章介绍时序逻辑电路。门电路是数字电路的基本部件,集成门电路是数字集成电路的一部分,本章首先介绍常用的集成门电路。 组合逻辑电路种类很多,由于应用广泛,中规模集成电路和大规模集成电路都有产品供应,在此将介绍几种常见的组合逻辑电路。 11.1 集成基本门电路 门电路又称逻辑门,是实现各种逻辑关系的基本电路,是组成数字电路的基本部件,由于他既能完成一定的逻辑运算功能,又能像“门”一样控制信号的通断,门打开时,信号可以通过;门闭合时,信号不能通过,因此称为门电路或门逻辑。集成门电路是数字集成电路的一部分,它的产品种类很多,内部电路各异,对一般读者来说,只需将其视为具有某一逻辑功能的器件,对于内部电路可不必深究。 按逻辑功能的不同,门电路可分为很多种,其中实现或、与、非三种逻辑关系的或门电路、与门电路和非门电路是最基本的门电路。 (一)或门电路 1.定义:在决定某一事件的各种条件中,只要有一个或一个以上的条件具备,事件就会发生,符合这一规律的逻辑关系称为或逻辑。 2.电路图及符号 如11-1a 所示电路。只要开关A 和B 中有一个或一个以上闭合,灯F 就会亮。这里开关的闭合和灯亮之间的关系为或逻辑关系。 实现或逻辑关系的电路称为或门。反映在逻辑电路中则是输入和输出电位的高与低两种状态,因此,习惯上把电位的高与低称为高电平和低电平。为便于逻辑运算,分别用0与1来表示。若规定高电平为1,低电平为1,这种逻辑关系称为正逻辑,反之称为负逻辑,本书一律采用正逻辑。或门的逻辑符号如图11-1a 电路所示。F 是输出端,A 和B 是输入端。输入端的数量可以不止两个,输入和输出都只有高电平1和低电平0两种状态。或门反映的逻辑关系是:只要输入中有一个或一个以上为高电平,输出便为高电平。 3.逻辑表达式 F=A+B 4.运算规律 ?? ? ?? =+=+=+A A A A A A 110 图11-1 或逻辑和或门 b) 或门 a) 或逻辑

第三章组合逻辑电路

第三章 组合逻辑电路 本章教学目的、要求: 1.掌握组合逻辑电路的分析方法和设计方法。 2.熟悉常用中规模集成组合逻辑电路的工作原理。 3.了解组合电路中的竞争和冒险现象。 重点:组合逻辑电路的分析方法和设计方法。 难点:组合逻辑电路分析中的功能判断 第一节 概述 一、组合逻辑电路的特点 数字电路按逻辑功可分为两大类 1.组合逻辑电路:任意时刻 的输出只取决于该时刻的输 入,与电路原来的状态无关。 2.时序逻辑电路:任意时刻 的输出不仅取决于该时刻的输 入,而且与电路原来的状态有关。 在组合逻辑电路中 例:右图所示电路: CI B A S ⊕⊕=)( AB CI B A CO +⊕=)( 二、逻辑功能的描述 逻辑图、函数式或真值表均能描述,这里用函数式说明: y 1=f 1(a 1,a 2,…a n ) 框图 y 2=f 2(a 1,a 2,…a n ) . . y m =f m (a 1,a 2,…a n ) 1.功能特点: 电路的输出状态不影响输入;电路的输入确定后,输出即确定。 2.结构特点: 电路不包含存储信号的记忆元件;电路不存在从输出到输入的反馈电路。 组合逻辑电路 a 1 y 1 y 2 y m a 2 a n · · · ·

=1 & ≥1 1 A i B i C i S i C i +1 (a ) 全加器 S i C i +1 A i B i C i (b ) =1 第二节、组合逻辑电路的分析方法和设计方法 一、组合逻辑电路的分析方法 所谓逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,并指出电路的逻辑功能。分析过程一般按下列步骤进行: 1.根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。 2.根据输出函数表达式列出真值表。 3.用文字概括出电路的逻辑功能。 例1:分析图4-2所示组合逻辑电路的逻辑功能。 解:根据给出的逻辑图, 逐级推导出输出端的逻辑函数表达式: 列真值表 由真值表可以看出,在三个输入变量中,只要有两个或两个以上的输入变量为1,则输出函数F 为1,否则为0,它表示了一种“少数服从多数”的逻辑关系。因此可以将该电路概括为:三变量多数表决器。 例2:分析下图所示电路,指出该电路的逻辑功能。 解: ① 写出函数表达式。 ② 列真值表。 ③ 分析功能。 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 A i B i C i C i+1 S i & & & & P 2 P 1P 3 F A B C 图 4-2 AC BC AB AC BC AB P P P F AC P BC P AB P ++=??=??====321321,,i i i i i i i i i i B A C B A C C B A S +⊕=⊕⊕=+)(1

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

数电练习_组合逻辑电路知识分享

数电练习2013_组合 逻辑电路

一、填空题 1.分析组合逻辑电路的步骤为:(1);(2); (3); (4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 2.在逻辑电路中,任意时刻的输出状态仅取决于该时刻输入信号的状态,而与信号作用前电路的状态无关,这种电路称为。因此,在电路结构上一般由组合而成。 3.十六进制数(F6.A)的等值八进制数是(),等值二进制数是 (),十进制数(56)的8421BCD编码是(),等值二进制数是()。 4. 实现两个一位二进制数相加,产生一位和值及一位进位值,但不考虑低位来的进位位的加法器称为________;将低位来的进位位与两个一位二进制数一起相加,产生一位和值及一位向高位进位的加法器称为________。 5.在下图所示的 卡诺图中,函数 F至少用个 与非门实现。设 输入原、反变量都提供。

6. 已知某组合电路的输入A、B、C、D及输出F的波形如图所示,则F对A、 B、C、D的最简与或表达式为F=。 参考答案: 1. (1)由逻辑图写出个输出端逻辑表达式;(2)化简和变换各逻辑表达式;(3)列出真值表 2. 组合逻辑电路门电路 3. 366.5 / 11110110.1010 / 01010110 / 111000 4. 半加器全加器 5. 3个 6.C B + C A+ D C 二、选择题 1.图(a)-(c)的三幅波形图中,正确表达了脉冲信号的宽度是() 2. 下列逻辑代数运算错误的是()

A. A 00=? B. A +1=A C. A A =?1 D. A +0=A 3.下列函数中等于A 的是( ) A. A +1 B. A A + C. AB A + D. A (A +B ) 4. 由开关组成的逻辑电路如图所示,设开关接通为“1”,断开为“0”,电灯亮为“1”,电灯L 暗为“0”,则该电路为( ) A. “与”门 B. “或”门 C. “非”门 D. 以上各项都不是 5.若把某一全加器的进位输出接至另一全加器的进位输入,则可构成( ) A. 二位并行进位的全加器 B. 二位串行进位的全加器 C. 一位串行进位的全加器 D. 以上各项都不是 6. 逻辑电路的真值表如下所示,由此可写出其逻辑函数表达式为( )。 A. C AB C B A C B A F ++= B. C B B A F += C. C B C B A F += D. AC B F += A B C F A B C F 0 1 1 1

相关主题
文本预览
相关文档 最新文档